程序代写案例-ELEN30011

欢迎使用51辅导,51作业君孵化低价透明的学长辅导平台,服务保持优质,平均费用压低50%以上! 51fudao.top
ELEN30011 Electrical Device Modelling Page 1 of 6
THE UNIVERSITY OF MELBOURNE
Department of Electrical & Electronic Engineering
Semester 2 Assessmen
t — 2022
ELEN30011 ELECTRICAL DEVICE MODELLING
Reading time: 30 minutes
Writing time: 180 minutes
Submission time: 30 minutes
This examination paper has 6 pages
Authorized materials:
1) A device or computer running Zoom, with working video camera and microphone.
2) Any hardcopy material and/or electronic media stored on an offline PDF reader.
3) Any paper, pens, pencils, rulers, and any dedicated handheld calculator (e.g. FX-100).
4) A mobile phone or tablet, for use as a scanner during submission time.
Instructions to students:
1) This is an open book and Zoom supervised exam. It contains four (4) ques-
tions, each with multiple parts. A maximum of 100 marks is available, with 25 marks
allocated per question. Question parts may be worth unequal marks.
2) Your answers must be handwritten on paper and scanned. Writing with an
electronic device is not permitted.
3) Your answers must be entirely your own work. Assistance, collaboration, col-
lusion, or plagiarism is not permitted, by any means.
4) During reading time: Writing is not permitted, in any form. You may print the
exam paper. Alternatively, you may download the exam paper to your PDF reader,
which must then be disconnected from the internet.
5) During writing time: Attempt all questions. Write your answers using pen / pencil
on paper. Commence each question on a new page. Ensure that all your handwritten
pages, hardcopy material, and your PDF reader remain visible to supervisors at all
times. Interaction with your device or computer running Zoom is not permitted, unless
directed by supervisors.
6) During submission time: Cease writing immediately. Assemble your pages in ques-
tion number order. Scan and combine them into a single PDF file, using your mobile
phone or tablet. Check that all pages are included and clearly readable. Submit your
PDF file using the Canvas Assignment window corresponding to this exam. Confirm
your submission with supervisors.
(OVER)
ELEN30011 Electrical Device Modelling Page 2 of 6
Question 1 (25 marks)
AAAIiXicfZVbb9MwFMczbisdlw0eeYmoJiGEpmYCMfG0Cw97GGNMdO3WVJPjOK01x45sd21m+UvwCl+Mb4OTpje7zFKl45O fzzn+H9eOMoKFbDb/rj14+Ojxk/Xa0/rGs+cvXm5uvboQbMghakFGGO9EQCCCKWpJLAnqZByBNCKoHd0cFd/bt4gLzOhPmWeol4I+xQmGQBpXJxxSLNVYX282mjvNcviuEVRGw6vG2fXW+k0YMzhMEZWQACG6QTOTPQW4xJAgXQ+HAmUA3oA+6hqTghSJnioL1v628cR+wrj5UemX3sUVCqRC5GlkyBTIgbC/Fc6V3woPF4lYyq+iVNsRIpHr+rZvrwXR2CpdJns9hWk2lIjCSeXJkPiS+YWcfow5 gpLkxgCQY7N5Hw4AB1Aa0ev1kKIRZGkKaKzCCGjfDGOgPqZGKg5yrZcZNGWQmU2JZUT0qWGUCiUaS56qYl5CX5HpBEffzD6+Z4gDyfh7FQLeTzHVJlg//FBY94FgPAWNdV9IIYGs0NL8HzrhJoi1Dyl0N+jNdiJkTpBqBNqWJEYprkSRQoWJEVcFWu064HlJlQGLXkaROteOelksGUW6uzvJXEYLs+LYAuKX6RenRRK7nBUB4mplPFtiZUWZmBdnJpgwagdm2USP2QYgIKv0YNnAqDmVboRjZOaFe wJbNGxXiWdhBeSq7XIH2qEOXOrQpQ5d6tKlLl2q5VItlzp1unrqQiduqBOXOl6mCn2PXaqjrUgdl7mymSuHiZi2cp24p3E0qHq+0MkVLR/JZUxiEq/8rzAyB5m594tnoeKWwdtkethmwiarAhbvwzxklM7Y0JTqVyduxRoxX1Teyem0imV0XBzlWVOqx8iOl7tQ7kB3LnTnbjvGRpT+YmNMZcbpdnjIiYWVLpvrcxBPOQoiApzrgqV2OuNxlRAimd4pRqnr6mQlk/vEPM+B/Ri7xsXuTvBpp/njY2 P/sHqoa94b7633zgu8z96+d+ydeS0PesT75f32/tQ2akFtr/Zlgj5Yq9a89pZG7egfgoUFuw==

AAAIiXicfZVbb9MwFM czbisdlw0eeYmoJiGEpmYCMfG0Cw97GGNMdO3WVJPjOK01x45sd21m+UvwCl+Mb4OTpje7zFKl45Ofzzn+H9eOMoKFbDb/rj14+Ojxk/Xa0/rGs+cvXm5uvboQbMghakFGGO9EQCCCKW pJLAnqZByBNCKoHd0cFd/bt4gLzOhPmWeol4I+xQmGQBpXJxxSLFWurzcbzZ1mOXzXCCqj4VXj7Hpr/SaMGRymiEpIgBDdoJnJngJcYkiQrodDgTIAb0AfdY1JQYpET5UFa3/beGI/Yd z8qPRL7+IKBVIh8jQyZArkQNjfCufKb4WHi0Qs5VdRqu0Ikch1fdu314JobJUuk72ewjQbSkThpPJkSHzJ/EJOP8YcQUlyYwDIsdm8DweAAyiN6PV6SNEIsjQFNFZhBLRvhjFQH1MjFQ e51ssMmjLIzKbEMiL61DBKhRKNJU9VMS+hr8h0gqNvZh/fM8SBZPy9CgHvp5hqE6wffiis+0AwnoLGui+kkEBWaGn+D51wE8TahxS6G/RmOxEyJ0g1Am1LEqMUV6JIocLEiKsCrXYd8L ykyoBFL6NInWtHvSyWjCLd3Z1kLqOFWXFsAfHL9IvTIoldzooAcbUyni2xsqJMzIszE0wYtQOzbKLHbAMQkFV6sGxg1JxKN8IxMvPCPYEtGrarxLOwAnLVdrkD7VAHLnXoUocudelSly 7VcqmWS506XT11oRM31IlLHS9Thb7HLtXRVqSOy1zZzJXDRExbuU7c0zgaVD1f6OSKlo/kMiYxiVf+VxiZg8zc+8WzUHHL4G0yPWwzYZNVAYv3YR4ySmdsaEr1qxO3Yo2YLyrv5HRaxT I6Lo7yrCnlYzR24uUulDvQnQvduduOsRGlv9gYU5lxuh0ecmJhpcvm+hzEU46CiADnumCpnc54XCWESKZ3ilHqujpZyeQ+Mc9zYD/GrnGxuxN82mn++NjYP6we6pr3xnvrvfMC77O37x 17Z17Lgx7xfnm/vT+1jVpQ26t9maAP1qo1r72lUTv6B4qkBbw=

AAAIiXicfZVbb9MwFMczbi sdlw0eeYmoJiGEpmYCMfG0Cw97GGNMdO3WVJPjOK01x45sd21m+UvwCl+Mb4OTpje7zFKl45Ofzzn+H9eOMoKFbDb/rj14+Ojxk/Xa0/rGs+cvXm5uvboQbMghakFGGO9EQCCCKWpJLAnqZByB NCKoHd0cFd/bt4gLzOhPmWeol4I+xQmGQBpXJxxSLNWdvt5sNHea5fBdI6iMhleNs+ut9ZswZnCYIiohAUJ0g2YmewpwiSFBuh4OBcoAvAF91DUmBSkSPVUWrP1t44n9hHHzo9IvvYsrFEiFyNP IkCmQA2F/K5wrvxUeLhKxlF9FqbYjRCLX9W3fXguisVW6TPZ6CtNsKBGFk8qTIfEl8ws5/RhzBCXJjQEgx2bzPhwADqA0otfrIUUjyNIU0FiFEdC+GcZAfUyNVBzkWi8zaMogM5sSy4joU8MoF Uo0ljxVxbyEviLTCY6+mX18zxAHkvH3KgS8n2KqTbB++KGw7gPBeAoa676QQgJZoaX5P3TCTRBrH1LobtCb7UTInCDVCLQtSYxSXIkihQoTI64KtNp1wPOSKgMWvYwida4d9bJYMop0d3eSuYwW ZsWxBcQv0y9OiyR2OSsCxNXKeLbEyooyMS/OTDBh1A7Msokesw1AQFbpwbKBUXMq3QjHyMwL9wS2aNiuEs/CCshV2+UOtEMduNShSx261KVLXbpUy6VaLnXqdPXUhU7cUCcudbxMFfoeu1RHW5 E6LnNlM1cOEzFt5TpxT+NoUPV8oZMrWj6Sy5jEJF75X2FkDjJz7xfPQsUtg7fJ9LDNhE1WBSzeh3nIKJ2xoSnVr07cijVivqi8k9NpFcvouDjKs6aUj9HYiZe7UO5Ady505247xkaU/mJjTGXG6 XZ4yImFlS6b63MQTzkKIgKc64KldjrjcZUQIpneKUap6+pkJZP7xDzPgf0Yu8bF7k7waaf542Nj/7B6qGveG++t984LvM/evnfsnXktD3rE++X99v7UNmpBba/2ZYI+WKvWvPaWRu3oH5LDBb0 =
zˆ out of the page
AAAIgnicfZXPT9swFMcDY6Prf sF23CVahTShCTVs03bYAdgOHBgDtNJCUyHHcVILx45sl7ZY+Qt23f64/Tdz0qQ0doelSs8vn/ee/X2uHaQEC9lu/11ZfbD28NF643HzydNnz19sbL48F2zEIepARhjvBUAgginqSCwJ6qUcgSQgqBtcf 82/d28QF5jRn3KaokECYoojDIHUrtOzq41We6ddDNc2vNJoOeU4udpcv/ZDBkcJohISIETfa6dyoACXGBKUNf2RQCmA1yBGfW1SkCAxUMVKM3dLe0I3Ylz/qHQL72KEAokQ0yTQZALkUJjfcufSb7mHi 0jU6qsgycwMgZhmzS3XjAXBxFi6jD4PFKbpSCIKZyuPRsSVzM11dEPMEZRkqg0AOdabd+EQcAClVrvZ9CkaQ5YkgIbKD0Dm6qENFGOqpeJgmmV1BlUM0rOKqCMipppRypdoInmi8nkBfUO6Exx91/v4k SIOJOPbygc8TjDNdLLYf5db94FgUoHaui+lkECWaGH+D51xM8TYhxRZ3xvMdyLklCDV8jJTkhAluBRFCuVHWlzlZWrXAs8KqkiY9zII1FlmqZeGklGU9XdnlYtsfpofW0DcovziNC9iLmdJgrCMDOchR lWUirvF6QkmjJqJWTrTY74BCMgyPVg61GpW0o1xiPQ8d89gg4bdsvA8rYBcdW1uP7OofZs6sKkDm7qwqQub6thUx6aOra4e29CRnerIpg7rVK7voU31MiNTz2YuTebSYgKWGbWO7NM4HpY9X+jkkpaPZ R2TmIRL/yuM3IFMX/j5e1BydfAmqg7bXNhoWcIRxQu1g2TO+nqpbnnilsSIu6DiTk6qVdTRSX6U503JA9XEyje1oakF3drQrb3tEGtR4sXG6JVpp93hEScGVrhMLuYgrDgKAgKs64IlZjntsZUQIqruFK 3UVXmyotl9op9nz3yMbeN8d8f7uNM+/dDaOygf6obz2nnjvHU855Oz5xw6J07HgQ5yfjm/nT+NtcZ2w2u8n6GrK2XMK6c2Gl/+AROyAjs=
R
AAAIgnicfZXNThs xEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XVB2sPH603HjefPH32/MXG5stzwUYcog5kh PFeAAQimKKOxJKgXsoRSAKCusH11/x79wZxgRn9KacpGiQgpjjCEEjtOuVXG632TrsYrm14ldFyqnFytbl+7YcMjhJEJSRAiL7XTuVAAS4xJChr+iOBUgCvQYz62qQgQWKgip Vm7pb2hG7EuP5R6Rbe+QgFEiGmSaDJBMihML/lzqXfcg8XkVior4IkMzMEYpo1t1wzFgQTY+ky+jxQmKYjiSgsVx6NiCuZm+vohpgjKMlUGwByrDfvwiHgAEqtdrPpUzSGLEkA DZUfgMzVQxsoxlRLxcE0yxYZVDNIz2piEREx1YxSvkQTyROVzwvoG9Kd4Oi73sePFHEgGd9WPuBxgmmmk8X+u9y6DwSTGtTWfSmFBLJCC/N/aMmViLEPKbK+N5jtRMgpQarlZ aYkIUpwJYoUyo+0uMrL1K4FnhVUkTDvZRCos8xSLw0loyjr75aVi2x+mh9bQNyi/Pw0L2IuZ0mCsIoMZyFGVZSKu8XpCSaMmolZWuox2wAEZJkeLB1qNWvpxjhEep67S9igYbc qPEsrIFddm9vPLGrfpg5s6sCmLmzqwqY6NtWxqWOrq8c2dGSnOrKpw0Uq1/fQpnqZkalnM5cmc2kxAcuMWkf2aRwPq57PdXJJy8dyEZOYhEv/K4zcgUxf+Pl7UHGL4E1UH7aZ sNGyhCOK52oHyYz19VLd6sQtiRF3QcWdnNSrWEQn+VGeNSUPVBMr39SGphZ0a0O39rZDrEWJ5xujV6addodHnBhY4TK5mIOw5igICLCuC5aY5bTHVkKIqL5TtFJX1cmKyvtEP8 +e+Rjbxvnujvdxp336obV3UD3UDee188Z563jOJ2fPOXROnI4DHeT8cn47fxprje2G13hfoqsrVcwrZ2E0vvwDF4ECWw==r
charge density
AAAIgnicfZXPT9swFMc DY6PrfsF23CVahTShCTVs03bYAdgOHBgDtNJCUyHHcVILx45sl7ZY+Qt23f64/Tdz0qQ0doelSs8vn/ee/X2uHaQEC9lu/11ZfbD28NF643HzydNnz19sbL48F2zEIepARhjvBUAgginq SCwJ6qUcgSQgqBtcf82/d28QF5jRn3KaokECYoojDIHUrtOTq41We6ddDNc2vNJoOeU4udpcv/ZDBkcJohISIETfa6dyoACXGBKUNf2RQCmA1yBGfW1SkCAxUMVKM3dLe0I3Ylz/qHQL7 2KEAokQ0yTQZALkUJjfcufSb7mHi0jU6qsgycwMgZhmzS3XjAXBxFi6jD4PFKbpSCIKZyuPRsSVzM11dEPMEZRkqg0AOdabd+EQcAClVrvZ9CkaQ5YkgIbKD0Dm6qENFGOqpeJgmmV1Bl UM0rOKqCMipppRypdoInmi8nkBfUO6Exx91/v4kSIOJOPbygc8TjDNdLLYf5db94FgUoHaui+lkECWaGH+D51xM8TYhxRZ3xvMdyLklCDV8jJTkhAluBRFCuVHWlzlZWrXAs8KqkiY9zI I1FlmqZeGklGU9XdnlYtsfpofW0DcovziNC9iLmdJgrCMDOchRlWUirvF6QkmjJqJWTrTY74BCMgyPVg61GpW0o1xiPQ8d89gg4bdsvA8rYBcdW1uP7OofZs6sKkDm7qwqQub6thUx6a Ora4e29CRnerIpg7rVK7voU31MiNTz2YuTebSYgKWGbWO7NM4HpY9X+jkkpaPZR2TmIRL/yuM3IFMX/j5e1BydfAmqg7bXNhoWcIRxQu1g2TO+nqpbnnilsSIu6DiTk6qVdTRSX6U503J A9XEyje1oakF3drQrb3tEGtR4sXG6JVpp93hEScGVrhMLuYgrDgKAgKs64IlZjntsZUQIqruFK3UVXmyotl9op9nz3yMbeN8d8f7uNM+/dDaOygf6obz2nnjvHU855Oz5xw6J07HgQ5yf jm/nT+NtcZ2w2u8n6GrK2XMK6c2Gl/+AQN2Ajk=
P
AAAIgnicfZXNThs xEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XVB2sPH603HjefPH32/MXG5stzwUYcog5kh PFeAAQimKKOxJKgXsoRSAKCusH11/x79wZxgRn9KacpGiQgpjjCEEjtOuVXG632TrsYrm14ldFyqnFytbl+7YcMjhJEJSRAiL7XTuVAAS4xJChr+iOBUgCvQYz62qQgQWKgip Vm7pb2hG7EuP5R6Rbe+QgFEiGmSaDJBMihML/lzqXfcg8XkVior4IkMzMEYpo1t1wzFgQTY+ky+jxQmKYjiSgsVx6NiCuZm+vohpgjKMlUGwByrDfvwiHgAEqtdrPpUzSGLEkA DZUfgMzVQxsoxlRLxcE0yxYZVDNIz2piEREx1YxSvkQTyROVzwvoG9Kd4Oi73sePFHEgGd9WPuBxgmmmk8X+u9y6DwSTGtTWfSmFBLJCC/N/aMmViLEPKbK+N5jtRMgpQarlZ aYkIUpwJYoUyo+0uMrL1K4FnhVUkTDvZRCos8xSLw0loyjr75aVi2x+mh9bQNyi/Pw0L2IuZ0mCsIoMZyFGVZSKu8XpCSaMmolZWuox2wAEZJkeLB1qNWvpxjhEep67S9igYbc qPEsrIFddm9vPLGrfpg5s6sCmLmzqwqY6NtWxqWOrq8c2dGSnOrKpw0Uq1/fQpnqZkalnM5cmc2kxAcuMWkf2aRwPq57PdXJJy8dyEZOYhEv/K4zcgUxf+Pl7UHGL4E1UH7aZ sNGyhCOK52oHyYz19VLd6sQtiRF3QcWdnNSrWEQn+VGeNSUPVBMr39SGphZ0a0O39rZDrEWJ5xujV6addodHnBhY4TK5mIOw5igICLCuC5aY5bTHVkKIqL5TtFJX1cmKyvtEP8 +e+Rjbxvnujvdxp336obV3UD3UDee188Z563jOJ2fPOXROnI4DHeT8cn47fxprje2G13hfoqsrVcwrZ2E0vvwDF4ECWw==r
AAAIgnicfZXPT9swFMcDY6Prf sF23CVahTShCTVs03bYAdgOHBgDtNJCUyHHcVILx45sl7ZY+Qt23f64/Tdz0qQ0doelSs8vn/ee/X2uHaQEC9lu/11ZfbD28NF643HzydNnz19sbL48F2zEIepARhjvBUAgginqSCwJ6qUcgSQgqBtcf 82/d28QF5jRn3KaokECYoojDIHUrtOzq41We6ddDNc2vNJoOeU4udpcv/ZDBkcJohISIETfa6dyoACXGBKUNf2RQCmA1yBGfW1SkCAxUMVKM3dLe0I3Ylz/qHQL72KEAokQ0yTQZALkUJjfcufSb7mHi 0jU6qsgycwMgZhmzS3XjAXBxFi6jD4PFKbpSCIKZyuPRsSVzM11dEPMEZRkqg0AOdabd+EQcAClVrvZ9CkaQ5YkgIbKD0Dm6qENFGOqpeJgmmV1BlUM0rOKqCMipppRypdoInmi8nkBfUO6Exx91/v4k SIOJOPbygc8TjDNdLLYf5db94FgUoHaui+lkECWaGH+D51xM8TYhxRZ3xvMdyLklCDV8jJTkhAluBRFCuVHWlzlZWrXAs8KqkiY9zII1FlmqZeGklGU9XdnlYtsfpofW0DcovziNC9iLmdJgrCMDOchR lWUirvF6QkmjJqJWTrTY74BCMgyPVg61GpW0o1xiPQ8d89gg4bdsvA8rYBcdW1uP7OofZs6sKkDm7qwqQub6thUx6aOra4e29CRnerIpg7rVK7voU31MiNTz2YuTebSYgKWGbWO7NM4HpY9X+jkkpaPZ R2TmIRL/yuM3IFMX/j5e1BydfAmqg7bXNhoWcIRxQu1g2TO+nqpbnnilsSIu6DiTk6qVdTRSX6U503JA9XEyje1oakF3drQrb3tEGtR4sXG6JVpp93hEScGVrhMLuYgrDgKAgKs64IlZjntsZUQIqruFK 3UVXmyotl9op9nz3yMbeN8d8f7uNM+/dDaOygf6obz2nnjvHU855Oz5xw6J07HgQ5yfjm/nT+NtcZ2w2u8n6GrK2XMK6c2Gl/+AROyAjs=
R
Solid cylinder
AAAIh3icfZVbT9swFMcNu1C6G2yP e4lWIU3TxBq0C4/A9sADYwyttNBUyHGc1MOxI9ulLVa+w163b7ZvMydNS2t3WKp0fPI759j/49phRolUzebfldV79x88XKut1x89fvL02cbm8zPJBwLhFuKUi04IJaaE4ZYiiuJOJjBMQ4rb4dXn4nv7GgtJOPuh xhnupTBhJCYIKuM6C3hGB/Jyo9HcbpbDcw2/MhqgGieXm2tXQcTRIMVMIQql7PrNTPU0FIogivN6MJA4g+gKJrhrTAZTLHu6XG7ubRlP5MVcmB9TXumdj9AwlXKchoZMoepL+1vhXPqt8AgZy4X6OkxzO0Mox3l9 y7NjYTiylq7i3Z4mLBsozNBk5fGAeop7hZheRARGio6NAZEgZvMe6kMBkTKS1+sBw0PE0xSySAchzD0zjIETwoxUAo7zfJHBUwab2ZRYRGTCDKN1oPBIiVQX8xL6gk0nBP5q9vEtwwIqLt7oAIokJSw3yZLgbWHd BcLRFDTWXSmlgqpCS/N/6ISbINY+lMy7fm+2E6nGFOuGn9uSRDgllShK6iA24mo/1zsOeFpSZcKil2GoT3NHvSxSnOG8uzOpXGYLsuLYQuqV5eenRRF7OUsSRFVkNAuxquJM3i7OTAjlzE7Ms4kesw0gSJfpwbO+ UXMq3ZBE2MwL9wS2aNSuCs/SSiR02+X2c4fad6kDlzpwqXOXOneplku1XOrY6eqxCx25qY5c6nCRKvQ9dKlObmXquMyFzVw4TMhzq9aRexqH/arnc51c0vKhWsQUodHS/wqntyA3t37xKFTcIngdTw/bTNh4WcIB I3O1w3TGBmapXnXilsTI26DyTk6nq1hER8VRnjWlCNQjJ9/YhcYOdONCN+62I2JESeYbY1ZmnG6HB4JaWOmyuUTAaMoxGFLoXBc8tcsZj6uElPH0TjFKXVYnK57cJ+Z59u3H2DXOdrb9D9vN7+8bewfVQ10DL8Er 8Br44BPYA4fgBLQAAj/BL/Ab/Kmt197VPtZ2J+jqShXzAiyM2v4/H6QEqg== AAAIh3icfZVbT9swFMcNu1C6G2yP e4lWIU3TxBq0C4/A9sADYwyttNBUyHGc1MOxI9ulLVa+w163b7ZvMydNS2t3WKp0fPI759j/49phRolUzebfldV79x88XKut1x89fvL02cbm8zPJBwLhFuKUi04IJaaE4ZYiiuJOJjBMQ4rb4dXn4nv7GgtJOPuh xhnupTBhJCYIKuM6C3hGB/Jyo9HcbpbDcw2/MhqgGieXm2tXQcTRIMVMIQql7PrNTPU0FIogivN6MJA4g+gKJrhrTAZTLHu6XG7ubRlP5MVcmB9TXumdj9AwlXKchoZMoepL+1vhXPqt8AgZy4X6OkxzO0Mox3l9 y7NjYTiylq7i3Z4mLBsozNBk5fGAeop7hZheRARGio6NAZEgZvMe6kMBkTKS1+sBw0PE0xSySAchzD0zjIETwoxUAo7zfJHBUwab2ZRYRGTCDKN1oPBIiVQX8xL6gk0nBP5q9vEtwwIqLt7oAIokJSw3yZLgbWHd BcLRFDTWXSmlgqpCS/N/6ISbINY+lMy7fm+2E6nGFOuGn9uSRDgllShK6iA24mo/1zsOeFpSZcKil2GoT3NHvSxSnOG8uzOpXGYLsuLYQuqV5eenRRF7OUsSRFVkNAuxquJM3i7OTAjlzE7Ms4kesw0gSJfpwbO+ UXMq3ZBE2MwL9wS2aNSuCs/SSiR02+X2c4fad6kDlzpwqXOXOneplku1XOrY6eqxCx25qY5c6nCRKvQ9dKlObmXquMyFzVw4TMhzq9aRexqH/arnc51c0vKhWsQUodHS/wqntyA3t37xKFTcIngdTw/bTNh4WcIB I3O1w3TGBmapXnXilsTI26DyTk6nq1hER8VRnjWlCNQjJ9/YhcYOdONCN+62I2JESeYbY1ZmnG6HB4JaWOmyuUTAaMoxGFLoXBc8tcsZj6uElPH0TjFKXVYnK57cJ+Z59u3H2DXOdrb9D9vN7+8bewfVQ10DL8Er 8Br44BPYA4fgBLQAAj/BL/Ab/Kmt197VPtZ2J+jqShXzAiyM2v4/H6QEqg==
AAAIh3icfZVbT9swFMcNu1C6G2yP e4lWIU3TxBq0C4/A9sADYwyttNBUyHGc1MOxI9ulLVa+w163b7ZvMydNS2t3WKp0fPI759j/49phRolUzebfldV79x88XKut1x89fvL02cbm8zPJBwLhFuKUi04IJaaE4ZYiiuJOJjBMQ4rb4dXn4nv7GgtJOPuh xhnupTBhJCYIKuM6C3hGB/Jyo9HcbpbDcw2/MhqgGieXm2tXQcTRIMVMIQql7PrNTPU0FIogivN6MJA4g+gKJrhrTAZTLHu6XG7ubRlP5MVcmB9TXumdj9AwlXKchoZMoepL+1vhXPqt8AgZy4X6OkxzO0Mox3l9 y7NjYTiylq7i3Z4mLBsozNBk5fGAeop7hZheRARGio6NAZEgZvMe6kMBkTKS1+sBw0PE0xSySAchzD0zjIETwoxUAo7zfJHBUwab2ZRYRGTCDKN1oPBIiVQX8xL6gk0nBP5q9vEtwwIqLt7oAIokJSw3yZLgbWHd BcLRFDTWXSmlgqpCS/N/6ISbINY+lMy7fm+2E6nGFOuGn9uSRDgllShK6iA24mo/1zsOeFpSZcKil2GoT3NHvSxSnOG8uzOpXGYLsuLYQuqV5eenRRF7OUsSRFVkNAuxquJM3i7OTAjlzE7Ms4kesw0gSJfpwbO+ UXMq3ZBE2MwL9wS2aNSuCs/SSiR02+X2c4fad6kDlzpwqXOXOneplku1XOrY6eqxCx25qY5c6nCRKvQ9dKlObmXquMyFzVw4TMhzq9aRexqH/arnc51c0vKhWsQUodHS/wqntyA3t37xKFTcIngdTw/bTNh4WcIB I3O1w3TGBmapXnXilsTI26DyTk6nq1hER8VRnjWlCNQjJ9/YhcYOdONCN+62I2JESeYbY1ZmnG6HB4JaWOmyuUTAaMoxGFLoXBc8tcsZj6uElPH0TjFKXVYnK57cJ+Z59u3H2DXOdrb9D9vN7+8bewfVQ10DL8Er 8Br44BPYA4fgBLQAAj/BL/Ab/Kmt197VPtZ2J+jqShXzAiyM2v4/H6QEqg==AAAIh3icfZVbT9swFMcNu1C6G2yP e4lWIU3TxBq0C4/A9sADYwyttNBUyHGc1MOxI9ulLVa+w163b7ZvMydNS2t3WKp0fPI759j/49phRolUzebfldV79x88XKut1x89fvL02cbm8zPJBwLhFuKUi04IJaaE4ZYiiuJOJjBMQ4rb4dXn4nv7GgtJOPuh xhnupTBhJCYIKuM6C3hGB/Jyo9HcbpbDcw2/MhqgGieXm2tXQcTRIMVMIQql7PrNTPU0FIogivN6MJA4g+gKJrhrTAZTLHu6XG7ubRlP5MVcmB9TXumdj9AwlXKchoZMoepL+1vhXPqt8AgZy4X6OkxzO0Mox3l9 y7NjYTiylq7i3Z4mLBsozNBk5fGAeop7hZheRARGio6NAZEgZvMe6kMBkTKS1+sBw0PE0xSySAchzD0zjIETwoxUAo7zfJHBUwab2ZRYRGTCDKN1oPBIiVQX8xL6gk0nBP5q9vEtwwIqLt7oAIokJSw3yZLgbWHd BcLRFDTWXSmlgqpCS/N/6ISbINY+lMy7fm+2E6nGFOuGn9uSRDgllShK6iA24mo/1zsOeFpSZcKil2GoT3NHvSxSnOG8uzOpXGYLsuLYQuqV5eenRRF7OUsSRFVkNAuxquJM3i7OTAjlzE7Ms4kesw0gSJfpwbO+ UXMq3ZBE2MwL9wS2aNSuCs/SSiR02+X2c4fad6kDlzpwqXOXOneplku1XOrY6eqxCx25qY5c6nCRKvQ9dKlObmXquMyFzVw4TMhzq9aRexqH/arnc51c0vKhWsQUodHS/wqntyA3t37xKFTcIngdTw/bTNh4WcIB I3O1w3TGBmapXnXilsTI26DyTk6nq1hER8VRnjWlCNQjJ9/YhcYOdONCN+62I2JESeYbY1ZmnG6HB4JaWOmyuUTAaMoxGFLoXBc8tcsZj6uElPH0TjFKXVYnK57cJ+Z59u3H2DXOdrb9D9vN7+8bewfVQ10DL8Er 8Br44BPYA4fgBLQAAj/BL/Ab/Kmt197VPtZ2J+jqShXzAiyM2v4/H6QEqg==
AAAIj3icfZXbThsxEIYXeiBNT9B e9mbVCIlWFUpQK3rTCmgvqEQpRQ0JsCnyer0bCx9WtkMSrH2P3rZv1bep95CQ2CmWEOPZb2bsfxw7TAmWqtn8u7R85+69+yu1B/WHjx4/ebq69uxE8oGAqA054aIbAokIZqitsCKomwoEaEhQJ7z8lH/vXCE hMWc/1DhFPQoShmMMgTKun4Hoc/+Dn//bEK8uVhvNzWYxfNdoVUbDq8bRxdrKZRBxOKCIKUiAlOetZqp6GgiFIUFZPRhIlAJ4CRJ0bkwGKJI9XSw789eNJ/JjLswfU37hnY3QgEo5pqEhKVB9aX/LnQu/5R4 hYzlXX4c0szOEcpzV1307FoQja+kqft/TmKUDhRgsVx4PiK+4n4vqR1ggqMjYGAAKbDbvwz4QACojfb0eMDSEnFLAIh2EIPPNMAZKMDNSCTDOsnkGTRhkZhNiHpEJM4zWgUIjJajO5wX0GZlOCPTV7ONbigR QXLzWARAJxSwzyZLgTW7dBoLRBDTWbSmlAqpCC/N/aMmViLUPJbPzVm+6E6nGBOlGK7MliRDFlShK6iA24upWprcc8LigioR5L8NQH2eOemmkOEPZ+VZZucgWpPmxBcQvys9O8yL2chYkiKrIaBpiVUWpvFmc mWDCmZ2Yp6Ue0w1AQBbpwdO+UXMi3RBHyMxzdwlbNOxUhadpJRS643K7mUPtutSeS+251KlLnbpU26XaLnXodPXQhQ7cVAcutT9P5fruu1Q3szJ1XebMZs4cJuSZVevAPY3DftXzmU4uaPlQzWMKk2jhb4WT G5Cb2z9/HCpuHryKJ4dtKmy8KOGA4ZnaIZ2ygVmqX524BTHyJqi4k+lkFfPoKD/K06bkgXrk5Bu70NiBrl3o2t12hI0oyWxjzMqM0+3wQBALK1w2lwgQTTgGQgKc64JTu5zxuEpIGU/uFKPURXWy4vI+Mc9z y36MXeNka7P1brP5/W1jZ696qGveC++lt+G1vG1vx9v3jry2Bz3h/fJ+e39qa7Xt2sfaTokuL1Uxz725UfvyD6krBvU=
⇢ = ⇢(r)
AAAIhXicfZVbT9 swFMfDbnTdDbbHvUSrkKZpQw1il7cB2wMPjDG00gKpkOM4rYVjR7ZLG6x8hb1uX23fZs6tNHaHpUrHJ79zjv0/rh0kBAvZ7f5duXP33v0Hq62H7UePnzx9trb+/ESwCYeo BxlhfBAAgQimqCexJGiQcATigKB+cPkl/96/QlxgRn/KNEHDGIwojjAEMnf5fMwu1jrdzW4xXNvwKqPjVOPoYn310g8ZnMSISkiAEOdeN5FDBbjEkKCs7U8ESgC8BCN0rk 0KYiSGqlhs5m5oT+hGjOsflW7hXYxQIBYijQNNxkCOhfktdy79lnu4iESjvgrizMwQiDRrb7hmLAhmxtJl9GmoME0mElFYrjyaEFcyN5fSDTFHUJJUGwByrDfvwjHgAEote LvtUzSFLI4BDZUfgMzVQxtohKmWioM0y5oMqhmkZzXRRMSIakYpX6KZ5LHK5wX0FelOcPRN7+N7gjiQjL9RPuCjGNNMJxv5b3PrNhDMalBbt6UUEsgKLcz/oSVXIsY+pMj OveF8J0KmBKmOl5mShCjGlShSKD/S4iovU1sWeFxQRcK8l0GgjjNLvSSUjKLsfKusXGTzk/zYAuIW5ReneRFzOUsShFVkOA8xqqJE3CxOTzBh1EzMklKP+QYgIMv0YMlYq1 lLN8Uh0vPcXcIGDftV4XlaAbnq29xuZlG7NrVnU3s2dWpTpzbVs6meTR1aXT20oQM71YFN7TepXN99mxpkRqaBzZyZzJnFBCwzah3Yp3E6rnq+0MklLZ/KJiYxCZf+Vxi5 AZm+8/MnoeKa4FVUH7a5sNGyhBOKF2oH8Zz19VLd6sQtiRE3QcWdHNeraKKz/CjPm5IHqpmVL7Wh1IKubeja3naItSijxcbolWmn3eEJJwZWuExuxEFYcxQEBFjXBYvNct pjKyFEVN8pWqmL6mRF5X2in2fPfIxt42Rr03u/2f2x3dnZqx7qlvPSeeW8djzno7Pj7DtHTs+Bztj55fx2/rRWW+9a260PJXpnpYp54TRG6/M/WPEDrA==
AAAIiHicfZVLbxMxEMe3vB rCq4UjlxVRpYJQla1AhVsbOPRQSqlIk7YbVV6vN7Hix8p2mqTWfgiu8Mn4NngfSRM71FKk8exvZuz/OHaUEixVs/l37d79Bw8frdce1588ffb8xcbmyzPJRwKiNuSEi24EJCKYobbCiqBuKhCgE UGdaPgl/965RkJizn6qaYp6FPQZTjAEyrg6oRjwbfH2aqPR3GkWw3eNoDIaXjVOrjbXh2HM4YgipiABUl4GzVT1NBAKQ4KyejiSKAVwCPro0pgMUCR7ulhv5m8ZT+wnXJgfU37hXYzQgEo5pZEh KVADaX/LnSu/5R4hE7lUX0c0szNEcprVt3w7FkQTa+kq+dTTmKUjhRgsV56MiK+4n6vpx1ggqMjUGAAKbDbvwwEQACqjeb0eMjSGnFLAYh1GIPPNMAbqY2akEmCaZcsMmjHIzGbEMiL7zDBahwp NlKA6nxfQV2Q6IdA3s4/vKRJAcfFOh0D0KWaZSdYP3+fWXSCYzEBj3ZVSKqAqtDD/h5ZciVj7UDK7DHrznUg1JUg3gsyWJEYUV6IoqcPEiKuDTO864GlBFQnzXkaRPs0c9dJYcYayy92ycpEtTP NjC4hflF+c5kXs5axIEFeR8TzEqopSebs4M8GEMzsxT0s95huAgKzSg6cDo+ZMujGOkZnn7hK2aNipCs/TSih0x+UOMoc6cKmWS7Vc6tylzl2q7VJtlzp2unrsQkduqiOXOlymcn0PXaqbWZm6 LnNhMxcOE/HMqnXknsbxoOr5QidXtHysljGFSbzyv8LJLcjNtZ+/ChW3DF4ns8M2FzZZlXDE8ELtiM7Z0CzVr07cihh5G1TcyXS2imV0kh/leVPyQD1x8k1daOpANy504247xkaU/mJjzMqM0+3 wSBALK1w21xcgnnEMRAQ41wWndjnjcZWQMpndKUapq+pkJeV9Yp7nwH6MXeNsdyf4uNP88aGx36oe6pr32nvjbXuBt+fte4feidf2oDf0fnm/vT+1eq1Z26t9LtF7a1XMK29p1Fr/ABB3BI0=
⇢(r)
Figure 1: Infinitely long solid cylinder and
supported charge density (Question 1).
An infinitely long solid cylinder of radiusR (units m) is
orientated along the z axis, with circular cross-section
as shown in Figure 1. The surrounding medium is a
perfect insulator of permittivity (units F m−1) which
carries zero net charge, i.e. is electrically neutral. The
charge density ρ(r, φ, z) = ρ(r) in the interior of the
solid cylinder and in the surrounding insulator is given
in cylindrical coordinates (r, φ, z) by
ρ(r) =

λ
pi R2
[
exp
( r
R
)
− 1
]
, r ∈ [0, R],
0, r > R,
(1)
with units C m−3, in which λ > 0 is a constant param-
eter. This charge density is shown in Figure 1, noting
in particular that it is independent of φ and z.
(a) By evaluating a suitable surface or volume integral involving the charge density (1), show that
the total charge per unit length stored in the solid cylinder is λ (with units C m−1).
[HINT:
∫ R
0
r exp( r
R
) dr = R2.] (6 marks)
(b) Using Maxwell’s equations, show that the electric field E at a point P = (x, y, z) outside the
cylinder, at a distance r > R from its axis (see Figure 1), is given in rectangular coordinates by
E = E(x, y, z) =
λ
2pi
[
x
x2 + y2
xˆ +
y
x2 + y2
yˆ + 0 zˆ
]
V m−1. (2)
Include all working in your answer, along with a clear statement of which of Maxwell’s equations
are applied and how. (6 marks)
(c) By evaluating appropriate partial derivatives, compute the divergence of the electric field E of
(2) at P. What is the significance of your answer, if any? (6 marks)
(d) Is the electric field E of (2) conservative? Justify your answer via a suitable computation and
explanation. (7 marks)
(OVER)
ELEN30011 Electrical Device Modelling Page 3 of 6
Question 2 (25 marks)
A very long solenoid, of length ` (units m) and cross-sectional area A (units m2), is wound with two
separate, insulated windings as illustrated in Figure 2, using n1 and n2 turns per metre respectively.
Currents i1 and i2 flow separately in the two windings, corresponding to the voltages v1 and v2 as
shown. The material used for the core of the solenoid has permeability µ (not labelled in the figure).
AAAIhHicfZXdbtMwFMez8bFSvja45CaimoT QNDWDCa7QNrjYxRhj0LVbU02O46TWHDuy3bWZlUfgFp6Nt8FJ066xyyxVO j75nXPs/3HtICVYyHb778rqvfsPHq41HjUfP3n67Pn6xoszwUYcog5khPF eAAQimKKOxJKgXsoRSAKCusHV5+J79xpxgRn9KbMUDRIQUxxhCKR2/cCX 3uV6q73dLodrG15ltJxqnFxurF35IYOjBFEJCRCi77VTOVCASwwJypv+SK AUwCsQo742KUiQGKhyrbm7qT2hGzGuf1S6pXcxQoFEiCwJNJkAORTmt8K5 9Fvh4SIStfoqSHIzQyCyvLnpmrEgmBhLl9HHgcI0HUlE4XTl0Yi4krmFkm 6IOYKSZNoAkGO9eRcOAQdQar2bTZ+iMWRJAmio/ADkrh7aQDGmWioOsjy vM2jGID2bEXVExFQzSvkSTSRPVDEvoS9Id4Kjr3of31LEgWT8rfIBjxNMc 50s9rcK6y4QTGagtu5KKSSQFVqa/0On3BQx9iFF3vcG850ImRGkWl5uShK iBFeiSKH8SIurvFztWOBpSZUJi14GgTrNLfXSUDKK8v7OtHKZzU+LYwuIW 5ZfnBZFzOUsSRBWkeE8xKiKUnG7OD3BhFEzMUunesw3AAFZpgdLh1rNmX RjHCI9L9xT2KBhtyo8TysgV12b288tat+mDmzqwKbObercpjo21bGpY6ur xzZ0ZKc6sqnDOlXoe2hTvdzI1LOZC5O5sJiA5UatI/s0jodVzxc6uaTlY1 nHJCbh0v8KI7cg01d+8SJUXB28jmaHbS5stCzhiOKF2kEyZ329VLc6cUti xG1QeScns1XU0UlxlOdNKQLVxMqX2VBmQTc2dGNvO8RalHixMXpl2ml3e MSJgZUuk4s5CGccBQEB1nXBErOc9thKCBHN7hSt1GV1sqLpfaKfZ898jG3 jbGfb291uf3/f2juoHuqG88p57bxxPOeDs+ccOidOx4FO7Pxyfjt/Gg8bW 413jd0purpSxbx0aqPx6R+3vgL2
i1
AAAIhH icfZXdbtMwFMez8bFSvja45CaimoTQNLWFCa7QNrjYxRhj0LVbU1WO46TWHDuy3bWZlUfgFp6Nt8FJ066xyyxVOj75nXPs/3FtPyFYyGbz79r6vfsPHm 7UHtUfP3n67Pnm1otzwcYcog5khPGeDwQimKKOxJKgXsIRiH2Cuv7V5/x79xpxgRn9KdMEDWIQURxiCKR2/cDD9nCz0dxtFsO1jVZpNJxynA63Nq68g MFxjKiEBAjRbzUTOVCASwwJyureWKAEwCsQob42KYiRGKhirZm7rT2BGzKuf1S6hXc5QoFYiDT2NRkDORLmt9y58lvu4SIUlfrKjzMzgy/SrL7tmrHAn xpLl+HHgcI0GUtE4Wzl4Zi4krm5km6AOYKSpNoAkGO9eReOAAdQar3rdY+iCWRxDGigPB9krh7aQBGmWioO0iyrMmjOID2bE1VERFQzSnkSTSWPVT4v oC9Id4Kjr3of3xLEgWT8rfIAj2JMM50s8nZy6y4QTOegtu5KKSSQJVqY/0Nn3Awx9iFF1m8NFjsRMiVINVqZKUmAYlyKIoXyQi2uamWqbYFnBVUkzHvp ++oss9RLAskoyvrtWeUim5fkxxYQtyi/PM2LmMtZkSAoI4NFiFEVJeJ2cXqCCaNmYpbM9FhsAAKySg+WjLSac+kmOEB6nrtnsEHDbll4kVZArro2d5B Z1IFNHdrUoU1d2NSFTXVsqmNTJ1ZXT2zo2E51bFNHVSrX98imepmRqWczlyZzaTE+y4xax/ZpnIzKni91ckXLJ7KKSUyClf8VRm5Bpq/8/EUouSp4Hc4 P20LYcFXCMcVLtf14wXp6qW554lbEiNug4k6O56uootP8KC+akgeqqZUvtaHUgm5s6MbedoC1KNFyY/TKtNPu8JgTAytcJhdxEMw5CnwCrOuCxWY57b GVECKc3ylaqWF5ssLZfaKf55b5GNvGeXu3tbfb/P6+sX9YPtQ155Xz2nnjtJwPzr5z5Jw6HQc6kfPL+e38qT2s7dTe1fZm6PpaGfPSqYzap3+/3AL3
i2
AAAIhHicfZX dbtMwFMez8bFSvja45CaimoTQNLWFCa7QNrjYxRhj0LVbU1WO46TWHDuy3bWZlUfgFp6Nt8FJ066xyyxVOj75nXPs/3FtPyFYyGbz79r6vfsPHm7UHtUfP3n67Pnm1 otzwcYcog5khPGeDwQimKKOxJKgXsIRiH2Cuv7V5/x79xpxgRn9KdMEDWIQURxiCKR2/cDD9nCz0dxtFsO1jVZpNJxynA63Nq68gMFxjKiEBAjRbzUTOVCASwwJyu reWKAEwCsQob42KYiRGKhirZm7rT2BGzKuf1S6hXc5QoFYiDT2NRkDORLmt9y58lvu4SIUlfrKjzMzgy/SrL7tmrHAnxpLl+HHgcI0GUtE4Wzl4Zi4krm5km6AOYK SpNoAkGO9eReOAAdQar3rdY+iCWRxDGigPB9krh7aQBGmWioO0iyrMmjOID2bE1VERFQzSnkSTSWPVT4voC9Id4Kjr3of3xLEgWT8rfIAj2JMM50s8nZy6y4QTOegt u5KKSSQJVqY/0Nn3Awx9iFF1m8NFjsRMiVINVqZKUmAYlyKIoXyQi2uamWqbYFnBVUkzHvp++oss9RLAskoyvrtWeUim5fkxxYQtyi/PM2LmMtZkSAoI4NFiFEVJe J2cXqCCaNmYpbM9FhsAAKySg+WjLSac+kmOEB6nrtnsEHDbll4kVZArro2d5BZ1IFNHdrUoU1d2NSFTXVsqmNTJ1ZXT2zo2E51bFNHVSrX98imepmRqWczlyZzaTE +y4xax/ZpnIzKni91ckXLJ7KKSUyClf8VRm5Bpq/8/EUouSp4Hc4P20LYcFXCMcVLtf14wXp6qW554lbEiNug4k6O56uootP8KC+akgeqqZUvtaHUgm5s6MbedoC1K NFyY/TKtNPu8JgTAytcJhdxEMw5CnwCrOuCxWY57bGVECKc3ylaqWF5ssLZfaKf55b5GNvGeXu3tbfb/P6+sX9YPtQ155Xz2nnjtJwPzr5z5Jw6HQc6kfPL+e38qT 2s7dTe1fZm6PpaGfPSqYzap3+/3AL3
i2
AAAIhHicfZX dbtMwFMez8bFSvja45CaimoTQNDWDCa7QNrjYxRhj0LVbU02O46TWHDuy3bWZlUfgFp6Nt8FJ066xyyxVOj75nXPs/3HtICVYyHb778rqvfsPHq41HjUfP3n67Pn6 xoszwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusHV5+J79xpxgRn9KbMUDRIQUxxhCKR2/cCX3uV6q73dLodrG15ltJxqnFxurF35IYOjBFEJCRCi77VTOVCASwwJy pv+SKAUwCsQo742KUiQGKhyrbm7qT2hGzGuf1S6pXcxQoFEiCwJNJkAORTmt8K59Fvh4SIStfoqSHIzQyCyvLnpmrEgmBhLl9HHgcI0HUlE4XTl0Yi4krmFkm6IOYK SZNoAkGO9eRcOAQdQar2bTZ+iMWRJAmio/ADkrh7aQDGmWioOsjyvM2jGID2bEXVExFQzSvkSTSRPVDEvoS9Id4Kjr3of31LEgWT8rfIBjxNMc50s9rcK6y4QTGag tu5KKSSQFVqa/0On3BQx9iFF3vcG850ImRGkWl5uShKiBFeiSKH8SIurvFztWOBpSZUJi14GgTrNLfXSUDKK8v7OtHKZzU+LYwuIW5ZfnBZFzOUsSRBWkeE8xKiKU nG7OD3BhFEzMUunesw3AAFZpgdLh1rNmXRjHCI9L9xT2KBhtyo8TysgV12b288tat+mDmzqwKbObercpjo21bGpY6urxzZ0ZKc6sqnDOlXoe2hTvdzI1LOZC5O5sJ iA5UatI/s0jodVzxc6uaTlY1nHJCbh0v8KI7cg01d+8SJUXB28jmaHbS5stCzhiOKF2kEyZ329VLc6cUtixG1QeScns1XU0UlxlOdNKQLVxMqX2VBmQTc2dGNvO8R alHixMXpl2ml3eMSJgZUuk4s5CGccBQEB1nXBErOc9thKCBHN7hSt1GV1sqLpfaKfZ898jG3jbGfb291uf3/f2juoHuqG88p57bxxPOeDs+ccOidOx4FO7Pxyfjt/G g8bW413jd0purpSxbx0aqPx6R+3vgL2
i1
AAAIhH icfZXdbtMwFMez8bFSvja45CaimoTQNLWFCa7QNrjYxRhj0LVbU1WO46TWHDuy3bWdlUfgFp6Nt8FJk66xyyxVOj75nXPs/3FtPyFYyGbz79r6vfsPHm 7UHtUfP3n67Pnm1otzwcYcog5khPGeDwQimKKOxJKgXsIRiH2Cuv7V5+x79xpxgRn9KWcJGsQgojjEEEjt+nE9bA83G83dZj5c22gVRsMpxulwa+PKC xgcx4hKSIAQ/VYzkQMFuMSQoLTujQVKALwCEeprk4IYiYHK15q629oTuCHj+kelm3uXIxSIhZjFviZjIEfC/JY5V37LPFyEolJf+XFqZvDFLK1vu2Ys8 KfG0mX4caAwTcYSUThfeTgmrmRupqQbYI6gJDNtAMix3rwLR4ADKLXe9bpH0QSyOAY0UJ4PUlcPbaAIUy0VB7M0rTKoZJCelUQVERHVjFKeRFPJY5XN c+gL0p3g6Kvex7cEcSAZf6s8wKMY01Qni7ydzLoLBNMS1NZdKYUEskBz83/onJsjxj6kSPutwWInQs4IUo1WakoSoBgXokihvFCLq1qpalvgWU7lCbNe +r46Sy31kkAyitJ+e145z+Yl2bEFxM3LL0+zIuZyViQIishgEWJURYm4XZyeYMKomZglcz0WG4CArNKDJSOtZindBAdIzzP3HDZo2C0KL9IKyFXX5g5 SizqwqUObOrSpC5u6sKmOTXVs6sTq6okNHdupjm3qqEpl+h7ZVC81MvVs5tJkLi3GZ6lR69g+jZNR0fOlTq5o+URWMYlJsPK/wsgtyPSVn70IBVcFr8P ysC2EDVclHFO8VNuPF6ynl+oWJ25FjLgNyu/kuFxFFZ1mR3nRlCxQTa18MxuaWdCNDd3Y2w6wFiVaboxemXbaHR5zYmC5y+QiDoKSo8AnwLouWGyW0x 5bCSHC8k7RSg2LkxXO7xP9PLfMx9g2ztu7rb3d5vf3jf3D4qGuOa+c184bp+V8cPadI+fU6TjQiZxfzm/nT+1hbaf2rrY3R9fXipiXTmXUPv0DKYsDBA ==v2
AAAIhH icfZXdbtMwFMez8bFSvja45CaimoTQNDWDCa7QNrjYxRhj0LVbU02O46TWHDuy3bWdlUfgFp6Nt8FJk66xyyxVOj75nXPs/3HtICVYyHb778rqvfsPHq 41HjUfP3n67Pn6xoszwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusHV5/x79xpxgRn9KacpGiQgpjjCEEjt+nF96V2ut9rb7WK4tuGVRsspx8nlxtqVH zI4ShCVkAAh+l47lQMFuMSQoKzpjwRKAbwCMeprk4IEiYEq1pq5m9oTuhHj+kelW3gXIxRIhJgmgSYTIIfC/JY7l37LPVxEolZfBUlmZgjENGtuumYsC CbG0mX0caAwTUcSUThbeTQirmRurqQbYo6gJFNtAMix3rwLh4ADKLXezaZP0RiyJAE0VH4AMlcPbaAYUy0VB9MsqzOoYpCeVUQdETHVjFK+RBPJE5XP C+gL0p3g6Kvex7cUcSAZf6t8wOME00wni/2t3LoLBJMK1NZdKYUEskQL83/ojJshxj6kyPreYL4TIacEqZaXmZKEKMGlKFIoP9LiKi9TOxZ4WlBFwryX QaBOM0u9NJSMoqy/M6tcZPPT/NgC4hblF6d5EXM5SxKEZWQ4DzGqolTcLk5PMGHUTMzSmR7zDUBAlunB0qFWs5JujEOk57l7Bhs07JaF52kF5Kprc/u ZRe3b1IFNHdjUuU2d21THpjo2dWx19diGjuxURzZ1WKdyfQ9tqpcZmXo2c2EyFxYTsMyodWSfxvGw7PlCJ5e0fCzrmMQkXPpfYeQWZPrKz1+EkquD11F 12ObCRssSjiheqB0kc9bXS3XLE7ckRtwGFXdyUq2ijk7yozxvSh6oJla+qQ1NLejGhm7sbYdYixIvNkavTDvtDo84MbDCZXIxB2HFURAQYF0XLDHLaY +thBBRdadopS7LkxXN7hP9PHvmY2wbZzvb3u52+/v71t5B+VA3nFfOa+eN4zkfnD3n0DlxOg50YueX89v503jY2Gq8a+zO0NWVMualUxuNT/8AIW0DAw ==v1++
--
AAAIhXicfZVbT9swFMf DbnTdDbbHvUSrkKZpQw1il7cB2wMPjDG00gKpkOM4rYVjR7ZLG6x8hb1uX23fZs6tNHaHpUrHJ79zjv0/rh0kBAvZ7f5duXP33v0Hq62H7UePnzx9trb+/ESwCYeoBxlhfBAAgQimqCexJ GiQcATigKB+cPkl/96/QlxgRn/KNEHDGIwojjAEMnf5iJCLtU53s1sM1za8yug41Ti6WF+99EMGJzGiEhIgxLnXTeRQAS4xJChr+xOBEgAvwQida5OCGImhKhabuRvaE7oR4/pHpVt4FyM UiIVI40CTMZBjYX7LnUu/5R4uItGor4I4MzMEIs3aG64ZC4KZsXQZfRoqTJOJRBSWK48mxJXMzaV0Q8wRlCTVBoAc6827cAw4gFIL3m77FE0hi2NAQ+UHIHP10AYaYaql4iDNsiaDagbpW U00ETGimlHKl2gmeazyeQF9RboTHH3T+/ieIA4k42+UD/goxjTTyUb+29y6DQSzGtTWbSmFBLJCC/N/aMmViLEPKbJzbzjfiZApQarjZaYkIYpxJYoUyo+0uMrL1JYFHhdUkTDvZRCo48 xSLwkloyg73yorF9n8JD+2gLhF+cVpXsRczpIEYRUZzkOMqigRN4vTE0wYNROzpNRjvgEIyDI9WDLWatbSTXGI9Dx3l7BBw35VeJ5WQK76NrebWdSuTe3Z1J5NndrUqU31bKpnU4dWVw9t 6MBOdWBT+00q13ffpgaZkWlgM2cmc2YxAcuMWgf2aZyOq54vdHJJy6eyiUlMwqX/FUZuQKbv/PxJqLgmeBXVh20ubLQs4YTihdpBPGd9vVS3OnFLYsRNUHEnx/UqmugsP8rzpuSBamblS2 0otaBrG7q2tx1iLcposTF6Zdppd3jCiYEVLpMbcRDWHAUBAdZ1wWKznPbYSggR1XeKVuqiOllReZ/o59kzH2PbONna9N5vdn9sd3b2qoe65bx0XjmvHc/56Ow4+86R03OgM3Z+Ob+dP63V 1rvWdutDid5ZqWJeOI3R+vwP92QDoA==
`length
area
AAAIgnicfZXPT9swFMcDY6PrfsF23CVahTShCTVs03bYAdgOHBgDt NJCUyHHcVILx45sl7ZY+Qt23f64/Tdz0qQ0doelSs8vn/ee/X2uHaQEC9lu/11ZfbD28NF 643HzydNnz19sbL48F2zEIepARhjvBUAgginqSCwJ6qUcgSQgqBtcf82/d28QF5jRn3Kao kECYoojDIHUrtP9q41We6ddDNc2vNJoOeU4udpcv/ZDBkcJohISIETfa6dyoACXGBKUNf2 RQCmA1yBGfW1SkCAxUMVKM3dLe0I3Ylz/qHQL72KEAokQ0yTQZALkUJjfcufSb7mHi0jU6 qsgycwMgZhmzS3XjAXBxFi6jD4PFKbpSCIKZyuPRsSVzM11dEPMEZRkqg0AOdabd+EQcAC lVrvZ9CkaQ5YkgIbKD0Dm6qENFGOqpeJgmmV1BlUM0rOKqCMipppRypdoInmi8nkBfUO6E xx91/v4kSIOJOPbygc8TjDNdLLYf5db94FgUoHaui+lkECWaGH+D51xM8TYhxRZ3xvMdyL klCDV8jJTkhAluBRFCuVHWlzlZWrXAs8KqkiY9zII1FlmqZeGklGU9XdnlYtsfpofW0Dco vziNC9iLmdJgrCMDOchRlWUirvF6QkmjJqJWTrTY74BCMgyPVg61GpW0o1xiPQ8d89gg4 bdsvA8rYBcdW1uP7OofZs6sKkDm7qwqQub6thUx6aOra4e29CRnerIpg7rVK7voU31MiNT z2YuTebSYgKWGbWO7NM4HpY9X+jkkpaPZR2TmIRL/yuM3IFMX/j5e1BydfAmqg7bXNhoWc IRxQu1g2TO+nqpbnnilsSIu6DiTk6qVdTRSX6U503JA9XEyje1oakF3drQrb3tEGtR4sXG 6JVpp93hEScGVrhMLuYgrDgKAgKs64IlZjntsZUQIqruFK3UVXmyotl9op9nz3yMbeN8d8 f7uNM+/dDaOygf6obz2nnjvHU855Oz5xw6J07HgQ5yfjm/nT+NtcZ2w2u8n6GrK2XMK6c2 Gl/+AYmlAio=
A
magnetic field
AAAIh3icfZVbT9swFMcDu1C6G2yPe4lWIU3 TxFq0C4/A9tAHxhhaaYFUyHGc1sOxI9ulLZa/w163b7ZvMydNSmN3WKp0f PI759j/49phSrCQzebfldV79x88XKut1x89fvL02cbm81PBRhyiDmSE8V4 IBCKYoo7EkqBeyhFIQoK64dXn7Hv3GnGBGf0hpynqJ2BAcYwhkMZ1GlzH qq0vNxrN7WY+fNdoFUbDK8bx5ebaVRAxOEoQlZAAIS5azVT2FeASQ4J0PR gJlAJ4BQbowpgUJEj0Vb5c7W8ZT+THjJsflX7uXYxQIBFimoSGTIAcCvtb 5lz6LfNwEYtKfRUm2s4Qiqmub/l2LAgn1tJlvNtXmKYjiSicrTweEV8yPx PTjzBHUJKpMQDk2Gzeh0PAAZRG8no9oGgMWZIAGqkgBNo3wxhogKmRioO p1lUGlQwys5KoImJADaNUINFE8kRl8xz6gkwnOPpq9vEtRRxIxt+oAPBBg qk2yQbB28y6CwSTEjTWXSmFBLJAc/N/6IybIdY+pNAXrf58J0JOCVKNlrY liVCCC1GkUEFsxFUtrXYc8CSn8oRZL8NQnWhHvTSSjCJ9sTOrnGcL0uzYA uLn5RenWRF7OUsSREVkNA+xqqJU3C7OTDBh1E7M0pke8w1AQJbpwdKhUb OUbowjZOaZewZbNOwWhedpBeSq63L72qH2XerApQ5c6sylzlyq41Idlzpy unrkQoduqkOXalepTN+2S/W0lannMuc2c+4wIdNWrUP3NI6HRc8XOrmk5W NZxSQm0dL/CiO3IDO3fvYoFFwVvI7LwzYXNl6WcETxQu0wmbOBWapfnLgl MeI2KL+Tk3IVVXSSHeV5U7JANXHyTV1o6kA3LnTjbjvCRpTBYmPMyozT7 fCIEwvLXTY34CAqOQpCApzrgiV2OeNxlRAiLu8Uo9RlcbLi2X1inueW/Ri 7xunOduvDdvP7+8beQfFQ17yX3ivvtdfyPnl7Xts79joe9H56v7zf3p/ae u1d7WNtd4aurhQxL7zKqO3/A2TSBJM=
H
Solenoid
AAAIjHicfZXdbtMwFMez8bF Svja45CaimoTQNDXVEEgIaRsI7WKMMdG1W1NVjuO01hw7st21nZXH4Baei7fBSZOuscssVTo++Z1z7P9x7SAhWMhm8+/a+r37Dx5u1B7VHz95+uz55taLc8HGHKI2ZITxbgAEIpiitsSSoG7CEYgDgjrB1efse+cacYEZ/Sl nCerHYEhxhCGQ2tWjA2/H9XdcOmgNNhvN3WY+XNvwCqPhFON0sLVx5YcMjmNEJSRAiJ7XTGRfAS4xJCit+2OBEgCvwBD1tElBjERf5WtO3W3tCd2Icf2j0s29yxEKxELM4kCTMZAjYX7LnCu/ZR4uIlGpr4I4NTMEYpbWt1 0zFgRTY+ky+tBXmCZjiSicrzwaE1cyN1PUDTFHUJKZNgDkWG/ehSPAAZRa93rdp2gCWRwDGio/AKmrhzbQEFMtFQezNK0yqGSQnpVEFRFDqhmlfImmkscqm+fQF6Q7wdE3vY/vCeJAMv5W+YAPY0xTnWzo72TWXSCYlqC27k opJJAFmpv/Q+fcHDH2IUXa8/qLnQg5I0g1vNSUJEQxLkSRQvmRFld5qWpZ4FlO5QmzXgaBOkst9ZJQMorSXmteOc/mJ9mxBcTNyy9PsyLmclYkCIvIcBFiVEWJuF2cnmDCqJmYJXM9FhuAgKzSgyUjrWYp3QSHSM8z9xw2a NgpCi/SCshVx+YOUos6sKlDmzq0qQuburCptk21berE6uqJDR3bqY5t6qhKZfoe2VQ3NTJ1bebSZC4tJmCpUevYPo2TUdHzpU6uaPlEVjGJSbjyv8LILcj01Z+9DAVXBa+j8rAthI1WJRxTvFQ7iBesr5fqFiduRYy4Dcrv5L hcRRWdZkd50ZQsUE2tfDMbmlnQjQ3d2NsOsRZluNwYvTLttDs85sTAcpfJDTkIS46CgADrumCxWU57bCWEiMo7RSs1KE5WNL9P9PPsmY+xbZy3dr13u80fe439w+KhrjmvnNfOG8dz3jv7zpFz6rQd6DDnl/Pb+VN7Vturf ax9mqPra0XMS6cyal//AR4pBT4=n1, n2
AAAIm3icfZVbb9MwFMezcVkptw1ekBBSxDRpIJiaCQSPu/AwoTLGRHdNmRzH6az5Etnu2s4yn4ZX+D58G5w07Vq7zFKl45PfO cf+H9dOcoKlajT+zs3fun3n7kLtXv3+g4ePHi8uPTmQvCsgakFOuDhKgEQEM9RSWBF0lAsEaELQYXKxXXw/vERCYs6+q0GO2hR0GM4wBMq6zhafxV2GldSrqiuYfBW/CekP/TYy5mxxubHWKEfoG1FlLAfV2DtbWriIUw67FDEFCZDyNGrkqq2BUBgSZOpxV6IcwAvQQafWZIAi2dblFky4Yj1pmHFhf0yFpXcyQgMq5YAmlqRAnUv3W+Gc+a3wCJnJq fo6ocbNkMiBqa+EbixI+s7SVfaxrTHLuwoxOFx51iWh4mEhcJhigaAiA2sAKLDdfAjPgQBQ2TbU6zFDPcgpBSzVcQJMaIc1UAczK5UAA2OmGTRikJ2NiGlEdphltI4V6itBdTEvoU/IdkKgL3YfX3MkgOLitY6B6FDMjE3Wid8U1k0g6I9Aa92UUiqgKrQ0/4cOuSHi7ENJcxq1xzuRakCQXo6MK0mKKK5EsUc3zqy4OjJ63QP3S6pMWPQySfS+8 dTLU8UZMqfrw8pltjgvji0gYVl+cloUcZczI0FaRabjEKcqyuX14uwEE87cxDwf6jHeAARklh48P7dqjqTr4RTZeeEewg4ND6vC47QSCn3oc5vGozZ9asuntnzq2KeOfarlUy2f2vW6uutDTT9V06d2pqlC3x2fOjJOpiOfOXGZE49JuHFqNf3T2Duvej7RyRkt76lpTGGSzvyvcHINcvsSFA9FxU2Dl9nosI2FzWYlLN6L65QJHbOxXWpYnbgZMfI6q LyT6WgV02i/OMrjphSBuu/lG/jQwIOufOjK33aKrSidycbYlVmn3+GuIA5WulyuI0A64hhICPCuC07dctbjKyFlNrpTrFJn1cnKhveJfZ4j9zH2jYP1tej9WuPbu+WNreqhrgXPg5fBahAFH4KNYCfYC1oBDH4Gv4LfwZ/ai9p27XOtOUTn56qYp8HUqLX+AQrpC90=
(turn
s)m
1
AAAIiXicfZVbb9MwFMczbisdlw0eeYmoJiGEpmYCMfG0Cw9 7GGNMdO3WVJPjOK01x45sd21m+UvwCl+Mb4OTpje7zFKl45Ofzzn+H9eOMoKFbDb/r j14+Ojxk/Xa0/rGs+cvXm5uvboQbMghakFGGO9EQCCCKWpJLAnqZByBNCKoHd0cFd/ bt4gLzOhPmWeol4I+xQmGQBpXJxxSLNWdvt5sNHea5fBdI6iMhleNs+ut9ZswZnCYI iohAUJ0g2YmewpwiSFBuh4OBcoAvAF91DUmBSkSPVUWrP1t44n9hHHzo9IvvYsrFEi FyNPIkCmQA2F/K5wrvxUeLhKxlF9FqbYjRCLX9W3fXguisVW6TPZ6CtNsKBGFk8qTI fEl8ws5/RhzBCXJjQEgx2bzPhwADqA0otfrIUUjyNIU0FiFEdC+GcZAfUyNVBzkWi8 zaMogM5sSy4joU8MoFUo0ljxVxbyEviLTCY6+mX18zxAHkvH3KgS8n2KqTbB++KGw7 gPBeAoa676QQgJZoaX5P3TCTRBrH1LobtCb7UTInCDVCLQtSYxSXIkihQoTI64KtNp 1wPOSKgMWvYwida4d9bJYMop0d3eSuYwWZsWxBcQv0y9OiyR2OSsCxNXKeLbEyooyM S/OTDBh1A7Msokesw1AQFbpwbKBUXMq3QjHyMwL9wS2aNiuEs/CCshV2+UOtEMduNS hSx261KVLXbpUy6VaLnXqdPXUhU7cUCcudbxMFfoeu1RHW5E6LnNlM1cOEzFt5TpxT +NoUPV8oZMrWj6Sy5jEJF75X2FkDjJz7xfPQsUtg7fJ9LDNhE1WBSzeh3nIKJ2xoSn Vr07cijVivqi8k9NpFcvouDjKs6aUj9HYiZe7UO5Ady505247xkaU/mJjTGXG6XZ4y ImFlS6b63MQTzkKIgKc64KldjrjcZUQIpneKUap6+pkJZP7xDzPgf0Yu8bF7k7waaf 542Nj/7B6qGveG++t984LvM/evnfsnXktD3rE++X99v7UNmpBba/2ZYI+WKvWvPaWR u3oH5LDBb0=

Figure 2: A very long solenoid (Question 2).
With the aid of suitable arguments, calculations, and diagrams where appropriate, determine whether
each of the following statements is true or false:
( Unjustified answers of true or false will receive zero marks. )
(a) In the infinite length case ` =∞,
(i) Ampere’s law can be used to show that the magnetic field H in the core of the solenoid is
given by
H = (n1 i1 + n2 i2) zˆ A m
−1 ; (7 marks)
(ii) The total magnetic flux out through the left-hand end of the solenoid core is given by
Φ = µ (n1 i1 − n2 i2)A V s . (3 marks)
(b) In the finite length case ` <∞,
(i) Faraday’s law can be used to show the voltages v1 and v2 across each of the two windings
are approximately related to the currents i1 and i2 flowing in them by
v1 = (µn1 `A)
di1
dt
+ (µ

n1 n2 `A)
di2
dt
V,
v2 = (µ

n1 n2 `A)
di1
dt
+ (µn2 `A)
di2
dt
V ;
(5 marks)
(ii) Faraday’s law can be used to show that the A.C. voltage gain v2/v1 provided by the solenoid
is positive and depends only on the turns ratio n2/n1; (5 marks)
(iii) [HARDER] The energy stored in the solenoid is proportional to (n1 i1 + n2 i2)
2 (units J).
(5 marks)
(OVER)
ELEN30011 Electrical Device Modelling Page 4 of 6
Question 3 (25 marks)
TX
RX
AAAIhX icfZVbT9swFMfDbnTdDbbHvUSrkKZpQw1il7cB2wMPjDG00gKpkOM4rYVjR7ZLG6x8hb1uX23fZs6tNHaHpUrHJ79zjv0/rh0kBAvZ7f5duXP33v0Hq 62H7UePnzx9trb+/ESwCYeoBxlhfBAAgQimqCexJGiQcATigKB+cPkl/96/QlxgRn/KNEHDGIwojjAEMnf5iJCLtU53s1sM1za8yug41Ti6WF+99EMG JzGiEhIgxLnXTeRQAS4xJChr+xOBEgAvwQida5OCGImhKhabuRvaE7oR4/pHpVt4FyMUiIVI40CTMZBjYX7LnUu/5R4uItGor4I4MzMEIs3aG64ZC4K ZsXQZfRoqTJOJRBSWK48mxJXMzaV0Q8wRlCTVBoAc6827cAw4gFIL3m77FE0hi2NAQ+UHIHP10AYaYaql4iDNsiaDagbpWU00ETGimlHKl2gmeazyeQF 9RboTHH3T+/ieIA4k42+UD/goxjTTyUb+29y6DQSzGtTWbSmFBLJCC/N/aMmViLEPKbJzbzjfiZApQarjZaYkIYpxJYoUyo+0uMrL1JYFHhdUkTDvZR Co48xSLwkloyg73yorF9n8JD+2gLhF+cVpXsRczpIEYRUZzkOMqigRN4vTE0wYNROzpNRjvgEIyDI9WDLWatbSTXGI9Dx3l7BBw35VeJ5WQK76NrebW dSuTe3Z1J5NndrUqU31bKpnU4dWVw9t6MBOdWBT+00q13ffpgaZkWlgM2cmc2YxAcuMWgf2aZyOq54vdHJJy6eyiUlMwqX/FUZuQKbv/PxJqLgmeBXV h20ubLQs4YTihdpBPGd9vVS3OnFLYsRNUHEnx/UqmugsP8rzpuSBamblS20otaBrG7q2tx1iLcposTF6Zdppd3jCiYEVLpMbcRDWHAUBAdZ1wWKznPb YSggR1XeKVuqiOllReZ/o59kzH2PbONna9N5vdn9sd3b2qoe65bx0XjmvHc/56Ow4+86R03OgM3Z+Ob+dP63V1rvWdutDid5ZqWJeOI3R+vwP92QDoA ==
`
AAAIhX icfZVbT9swFMfDbnTdDbbHvUSrkKZpQw1il7cB2wMPjDG00gKpkOM4rYVjR7ZLG6x8hb1uX23fZs6tNHaHpUrHJ79zjv0/rh0kBAvZ7f5duXP33v0Hq 62H7UePnzx9trb+/ESwCYeoBxlhfBAAgQimqCexJGiQcATigKB+cPkl/96/QlxgRn/KNEHDGIwojjAEMnf5iJCLtU53s1sM1za8yug41Ti6WF+99EMG JzGiEhIgxLnXTeRQAS4xJChr+xOBEgAvwQida5OCGImhKhabuRvaE7oR4/pHpVt4FyMUiIVI40CTMZBjYX7LnUu/5R4uItGor4I4MzMEIs3aG64ZC4K ZsXQZfRoqTJOJRBSWK48mxJXMzaV0Q8wRlCTVBoAc6827cAw4gFIL3m77FE0hi2NAQ+UHIHP10AYaYaql4iDNsiaDagbpWU00ETGimlHKl2gmeazyeQF 9RboTHH3T+/ieIA4k42+UD/goxjTTyUb+29y6DQSzGtTWbSmFBLJCC/N/aMmViLEPKbJzbzjfiZApQarjZaYkIYpxJYoUyo+0uMrL1JYFHhdUkTDvZR Co48xSLwkloyg73yorF9n8JD+2gLhF+cVpXsRczpIEYRUZzkOMqigRN4vTE0wYNROzpNRjvgEIyDI9WDLWatbSTXGI9Dx3l7BBw35VeJ5WQK76NrebW dSuTe3Z1J5NndrUqU31bKpnU4dWVw9t6MBOdWBT+00q13ffpgaZkWlgM2cmc2YxAcuMWgf2aZyOq54vdHJJy6eyiUlMwqX/FUZuQKbv/PxJqLgmeBXV h20ubLQs4YTihdpBPGd9vVS3OnFLYsRNUHEnx/UqmugsP8rzpuSBamblS20otaBrG7q2tx1iLcposTF6Zdppd3jCiYEVLpMbcRDWHAUBAdZ1wWKznPb YSggR1XeKVuqiOllReZ/o59kzH2PbONna9N5vdn9sd3b2qoe65bx0XjmvHc/56Ow4+86R03OgM3Z+Ob+dP63V1rvWdutDid5ZqWJeOI3R+vwP92QDoA ==
`
AAAIgnicf ZXNThsxEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XVB2sPH603HjefP H32/MXG5stzwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusH11/x79wZxgRn9KacpGiQgpjjCEEjtOp1cbbTaO+1iuLbhVUbLqcbJ1eb6tR8yOEoQlZAAIfpeO5 UDBbjEkKCs6Y8ESgG8BjHqa5OCBImBKlaauVvaE7oR4/pHpVt45yMUSISYJoEmEyCHwvyWO5d+yz1cRGKhvgqSzMwQiGnW3HLNWBBMjKXL6PNAYZqOJKKwXH k0Iq5kbq6jG2KOoCRTbQDIsd68C4eAAyi12s2mT9EYsiQBNFR+ADJXD22gGFMtFQfTLFtkUM0gPauJRUTEVDNK+RJNJE9UPi+gb0h3gqPveh8/UsSBZHxb+YD HCaaZThb773LrPhBMalBb96UUEsgKLcz/oSVXIsY+pMj63mC2EyGnBKmWl5mShCjBlShSKD/S4iovU7sWeFZQRcK8l0GgzjJLvTSUjKKsv1tWLrL5aX5sAXG L8vPTvIi5nCUJwioynIUYVVEq7hanJ5gwaiZmaanHbAMQkGV6sHSo1aylG+MQ6XnuLmGDht2q8CytgFx1bW4/s6h9mzqwqQOburCpC5vq2FTHpo6trh7b0JGd 6simDhepXN9Dm+plRqaezVyazKXFBCwzah3Zp3E8rHo+18klLR/LRUxiEi79rzByBzJ94efvQcUtgjdRfdhmwkbLEo4onqsdJDPW10t1qxO3JEbcBRV3clKv YhGd5Ed51pQ8UE2sfFMbmlrQrQ3d2tsOsRYlnm+MXpl22h0ecWJghcvkYg7CmqMgIMC6LlhiltMeWwkhovpO0UpdVScrKu8T/Tx75mNsG+e7O97Hnfbph9be QfVQN5zXzhvnreM5n5w959A5cToOdJDzy/nt/GmsNbYbXuN9ia6uVDGvnIXR+PIPSDUCYQ==x
parallel

wires
M O
A
B
Figure 3: Comms sys-
tem (Question 3).
A digital communication system shown in Figure 3 consists of a transmitter
TX connected to a receiver RX via two cascaded identical spans of parallel
wires, each of length `
.
= 20 m, and connected at M. A third span of length
x of the same type of parallel wires is also connected at M, but with no load
(i.e. an open circuit) connected to its other end at O.
Each pair of wires consists of two identical solid cylindrical copper cores of
radius a
.
= 0.25 mm, separated by a high density polyethylene insulator of
thickness w
.
= 0.25 mm. The cores may be assumed to be lossless, while the
insulator has relative permittivity r
.
= 2.6 and negligible conductivity. The
permittivity of free space is nominally 8.8542×10−12 F m−1. The capacitance
per unit length for each pair is given by

.
=
pi 0 r
log
(
2 + w
a
) F m−1. (3)
A rising edge transmitted by TX has a duration of tSW = 1 ns, and requires
tD = 107 ns to propagate from A to M. The output impedance of TX is
negligible.
(a) Compute numerical values for the capacitance per unit length, induc-
tance per unit length, and characteristic impedance of the parallel wires
used in the configuration shown. (5 marks)
(b) By computing numerical values for the knee and ringing frequencies involved, i.e. fKNEE and
fRING, show that the signal transmitted by TX is likely to be distorted without an appropriate
termination strategy. Verify your answer by computing the length of the rising edge, i.e. `SW.
(5 marks)
(c) For sufficiently large x > 0, it is impossible to eliminate distortion by simply connecting a resistor
at O and designing an appropriate input impedance for RX at B. Explain why. Support your
answer with numerically evaluated reflection coefficients at TX, RX, and M, and a discussion of
the reflections generated and their expected amplitudes. (8 marks)
(d) Suppose O is left unterminated, i.e. as an open circuit as shown in Figure 3, and that the span
M-B is correctly terminated. It may be shown that the reflection coefficient ρM at M, as seen by
the signal propagating from the transmitter TX to M, varies as a function of frequency f (units
Hz) and length x `SW (units m) according to
|ρM(f, x)| = 1√√√√√1 + 1( f
fKNEE
)2(
x
lSW
)2 . (4)
(i) Interpret and discuss the physical ramifications of (4). (3 marks)
(ii) By assuming that the frequency content (i.e. spectrum) of the signal transmitted by TX
is strictly limited to the interval [0, 2 fKNEE] (units Hz), find the maximum length of the
unterminated span M-O that would ensure that the magnitude of the reflection coefficient
ρM is at most 0.05 for all frequency components of the signal. (4 marks)
(OVER)
ELEN30011 Electrical Device Modelling Page 5 of 6
Question 4 (25 marks)
An NPN BJT is operating in the forward active mode, due to the application of a constant base-
emitter junction voltage VBE > 0 and a constant base-collector junction voltage VBC < 0. This gives
rise to a hole distribution in the p-type base that is approximated by
pp(x) = p¯p − n¯p + (n¯p + ∆nVBEp )
(
1− x
Wb
)
(cm)−3, (5)
∆np
VBE = n¯p
[
exp
(
VBE
VT
)
− 1
]
(cm)−3, VT
.
= k T
q
V, (6)
for all x ∈ [0,Wb], in which Wb Lnp is the base width, Lnp is the diffusion length of electrons in the
p-type base, ∆np
VBE is the excess electron concentration injected at the emitter end of the base, and
p¯p and n¯p denote (respectively) the equilibrium concentrations of holes and electrons in the p-type
base material with no bias applied. [Note that p¯p n¯p = n¯
2
i , where n¯i is the equilibrium concentration
of electron-hole pairs (EHPs) in the corresponding intrinsic semiconductor material.]
Base
Collector
Emitter
AAAIgnicfZ XNThsxEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XVB2sPH603HjefPH32 /MXG5stzwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusH11/x79wZxgRn9KacpGiQgpjjCEEjtOp1cbbTaO+1iuLbhVUbLqcbJ1eb6tR8yOEoQlZAAIfpeO5UDBbj EkKCs6Y8ESgG8BjHqa5OCBImBKlaauVvaE7oR4/pHpVt45yMUSISYJoEmEyCHwvyWO5d+yz1cRGKhvgqSzMwQiGnW3HLNWBBMjKXL6PNAYZqOJKKwXHk0Iq5kb q6jG2KOoCRTbQDIsd68C4eAAyi12s2mT9EYsiQBNFR+ADJXD22gGFMtFQfTLFtkUM0gPauJRUTEVDNK+RJNJE9UPi+gb0h3gqPveh8/UsSBZHxb+YDHCaaZThb7 73LrPhBMalBb96UUEsgKLcz/oSVXIsY+pMj63mC2EyGnBKmWl5mShCjBlShSKD/S4iovU7sWeFZQRcK8l0GgzjJLvTSUjKKsv1tWLrL5aX5sAXGL8vPTvIi5nC UJwioynIUYVVEq7hanJ5gwaiZmaanHbAMQkGV6sHSo1aylG+MQ6XnuLmGDht2q8CytgFx1bW4/s6h9mzqwqQOburCpC5vq2FTHpo6trh7b0JGd6simDhepXN9Dm +plRqaezVyazKXFBCwzah3Zp3E8rHo+18klLR/LRUxiEi79rzByBzJ94efvQcUtgjdRfdhmwkbLEo4onqsdJDPW10t1qxO3JEbcBRV3clKvYhGd5Ed51pQ8UE2s fFMbmlrQrQ3d2tsOsRYlnm+MXpl22h0ecWJghcvkYg7CmqMgIMC6LlhiltMeWwkhovpO0UpdVScrKu8T/Tx75mNsG+e7O97Hnfbph9beQfVQN5zXzhvnreM5n5 w959A5cToOdJDzy/nt/GmsNbYbXuN9ia6uVDGvnIXR+PIPSDUCYQ==x
AAAItHicfZXdbtMwFMcz vlbK1waX3ERUkxCgqZn4utzKkHYxxpjo2q0pleM4rTXHjmx3bWf5OXgabuEZeBucNO1auyzStOPj3znH/h/XjjKChazX/67dun3n7r31yv3qg4ePHj/Z2Hx6KtiQQ9SEjDDejoBABFPUlFg S1M44AmlEUCu6+JTPty4RF5jR73KSoW4K+hQnGAJpXL2NIBQiUWEEuJ9plWn/tR/uIyKBX0zQ3PdDFfapVo3PWvc2avXtevH5rhGURs0rv+Pe5vpFGDM4TBGVkAAhOkE9k10FuMSQIF0Nhw JlAF6APuoYk4IUia4q9qb9LeOJ/YRx80elX3gXIxRIhZikkSFTIAfCnsudK+dyDxeJWKqvolTbGSIx0dUt344F0dhaukw+dhWm2VAiCqcrT4bEl8zPlfdjzBGUZGIMADk2m/fhAHAApelPtR pSNIIsTQGN835o33zGQH1MjVQcTLReZtCMQWY0I5YR0aeGUSqUaCx5qvJxAe0j0wmOvph9fM0QB5LxVyoEvJ9i03LzP3yTWzeBYDwDjXVTSiGBLNHC/B865aaItQ8pdCfoznci5IQgVQu0L UmMUlyKIoUKEyOuCrTaccCTgioS5r2MInWiHfWyWDKKdGdnWrnIFmb5sQXEL8ovDvMi9nJWJIjLyHgeYlVFmbhenBlgwqidmGVTPeYbgICs0oNlA6PmTLoRjpEZ5+4pbNGwVRaepxWQq5bL 7WmH2nOphks1XOrMpc5cqulSTZc6crp65EKHbqpDlzpYpnJ9D1yqra1MbZc5t5lzh4mYtmoduqdxNCh7vtDJFS0fyWVMYhKv/K0wcg0y80TkL0jJLYOXyeywzYVNViUcUrxQO0rnbGiW6pc nbkWMuA4q7uR0topldJwf5XlT8kA1dvJNXGjiQFcudOVuO8ZGlP5iY8zKjNPt8JATCytcNtfnIJ5xFEQEONcFS+1yxuMqYR7j2Z1ilOqVJyuZ3ifmeQ7sx9g1Tne2g3fb9W9va7uN8qGueM +9F95LL/A+eLvegXfsNT3o/fR+eb+9P5X3lbACK2iK3lorY555S1+F/gPXYhc1
p¯p +n
VBE
p
AAAIpHicfZVLbxMx EMe35dEQXi0cuSxERQhBla1AcGwLhx5KKYU0abMh8nq9iVWvvbKdJqnlM5+GK3wWvg3ezeZlByxVHc/+Zsb+j2NHGcFC1ut/1tZv3Lx1e6Nyp3r33v0HDze3Hp0JNuAQNSAjjL ciIBDBFDUklgS1Mo5AGhHUjC4/5N+bV4gLzOg3Oc5QJwU9ihMMgTSu7ubTUIhEhRHgfqZVpv3X/txDc093s1bfqRfDd42gNGpeOU66WxuXYczgIEVUQgKEaAf1THYU4BJDgnQ1H AiUAXgJeqhtTApSJDqq2Iv2t40n9hPGzR+VfuFdjFAgFWKcRoZMgewL+1vuXPkt93CRiKX6Kkq1nSESY13d9u1YEI2spcvkfUdhmg0konCy8mRAfMn8XGk/xhxBScbGAJBjs3k f9gEHUJp+VKshRUPI0hTQOFdb+2YYA/UwNVJxMNZ6mUFTBpnZlFhGRI8aRqlQopHkqcrnBfQRmU5w9Mns43OGOJCMv1Qh4L0Umyab/+Gr3PofCEZT0Fj/SykkkCVamP9CJ9wEsf YhhW4HndlOhBwTpGqBtiWJUYpLUaRQYWLEVYFWuw54WlBFwryXUaROtaNeFktGkW7vTioX2cIsP7aA+EX5xWlexF7OigRxGRnPQqyqKBPzxZkJJozaiVk20WO2AQjIKj1Y1jdq TqUb4hiZee6ewBYNm2XhWVoBuWq63L52qH2XOnCpA5c6d6lzl2q4VMOljp2uHrvQkZvqyKUOl6lc30OXamkrU8tlLmzmwmEipq1aR+5pHPbLni90ckXLh3IZk5jEK38rjMxBZp6 E/MUouWXwKpketpmwyaqEA4oXakfpjA3NUv3yxK2IEfOg4k5Op6tYRkf5UZ41JQ9UIyff2IXGDnTtQtfutmNsROktNsaszDjdDg84sbDCZXM9DuIpR0FEgHNdsNQuZzyuEuY9n t4pRqluebKSyX1inufAfoxd42x3J3i7U//yprZ3UD7UFe+J98x74QXeO2/PO/ROvIYHvR/eT++X97vyvHJU+VppTND1tTLmsbc0Kt//AmsBEBc=
p¯p n¯p
AAAIjnicfZVbT9swFMfDbnTdDbbHvUSrkNg0oRbt9jIN2B76wBhDKy2QCjmO01o4d ma7tMXy59jr9rH2beakSWnsDguk45PfOcf+H9cOU4KFbDb/rty6fefuvdXa/fqDh48eP1lbf3os2I hD1IGMMN4LgUAEU9SRWBLUSzkCSUhQN7z4nH3vXiIuMKM/5DRF/QQMKI4xBNK4+oEQsUq1+ducvDx fazS3mvnwXaNVGA2vGIfn66sXQcTgKEFUQgKEOGs1U9lXgEsMCdL1YCRQCuAFGKAzY1KQINFX+aq1v 2E8kR8zbv6p9HPvYoQCiRDTJDRkAuRQ2N8y59JvmYeLWFTqqzDRdoZQTHV9w7djQTixli7jD32FaT qSiMLZyuMR8SXzM039CHMEJZkaA0COzeZ9OAQcQGmUr9cDisaQJQmgkQpCoH0zjIEGmBqpOJhqXWVQ ySAzK4kqIgbUMEoFEk0kT1Q2z6EvyHSCo69mH99SxIFk/JUKAB8kmGqTbBC8zqybQDApQWPdlFJII As0N/+HzrgZYu1DCn3W6s93IuSUINVoaVuSCCW4EEUKFcRGXNXSatsBj3IqT5j1MgzVkXbUSyPJKNJ n27PKebYgzY4tIH5efnGaFbGXsyRBVERG8xCrKkrF9eLMBBNG7cQsnekx3wAEZJkeLB0aNUvpxjhC Zp65Z7BFw25ReJ5WQK66LrerHWrXpfZcas+lTlzqxKU6LtVxqQOnqwcutO+m2nepdpXK9G27VE9bm Xouc2ozpw4TMm3V2ndP43hY9Hyhk0taPpZVTGISLf2tMHINMnP5Z29DwVXBy7g8bHNh42UJRxQv1A6 TORuYpfrFiVsSI66D8js5KVdRRSfZUZ43JQtUEyff1IWmDnTlQlfutiNsRBksNsaszDjdDo84sbDc ZXMDDqKSoyAkwLkuWGKXMx5XCfMal3eKUeq8OFnx7D4xz3PLfoxd43h7q/V2q/n9TWNnr3ioa95z74 W36bW8996O1/YOvY4HvZ/eL++396e2VntX+1j7NENvrRQxz7zKqLX/AU4nB6I=
pp(x)
Hole
concentration
AAAIi3icfZXNbhMxE Me35aMhUGjhyGVFVAkhVCUFBEIc2gJSD6WUijRps1Hl9XoTq157ZTtNUstvwRXei7fB+5UmdqilSOPZn2fG/3HsMCVYyGbz78rqnbv37q/VHtQfPlp//GRj8+mpYCMOURsywng3BA IRTFFbYklQN+UIJCFBnfDyc/a9c4W4wIz+lNMU9RMwoDjGEEjjOg+EiFVHq1BfbDSa2818+K7RKo2GV47ji821yyBicJQgKiEBQvRazVT2FeASQ4J0PRgJlAJ4CQaoZ0wKEiT6Ki9Z +1vGE/kx4+ZHpZ9751cokAgxTUJDJkAOhf0tcy79lnm4iMVCfhUm2o4Qiqmub/n2WhBOrNJl/KGvME1HElFYVB6PiC+ZnwnqR5gjKMnUGABybDbvwyHgAEoje70eUDSGLEkAjVQQA u2bYQw0wNRIxcFU60UGVQwys4pYRMSAGkapQKKJ5InK5jn0BZlOcPTN7ON7ijiQjL9SAeCDBFNtgg2C15l1GwgmFWis20IKCWSJ5ub/0IIrEGsfUuheqz/biZBTglSjpW1JIpTgUh QpVBAbcVVLqx0HPMmpPGDWyzBUJ9pRL40ko0j3dorMebQgzY4tIH6efn6aJbHLWRIgKldGsyVWVpSKm+LMBBNG7cAsLfSYbQACskwPlg6NmpV0YxwhM8/cBWzRsFMmnoUVkJs/vcPt aYfac6l9l9p3qTOXOnOptku1XerI6eqRCx26oQ5d6mCRyvQ9cKmutiJ1XebcZs4dJmTaynXonsbxsOz5XCeXtHwsFzGJSbT0v8LIDcjMzZ89DCW3CF7F1WGbCRsvCziieC53mMzYw JTqlyduyRpxsyi/k5OqikV0kh3lWVOyhWrixJu60NSBrl3o2t12hI0og/nGmMqM0+3wiBMLy102N+AgqjgKQgKc64IldjrjcZUwT3F1pxilLsqTFRf3iXmeW/Zj7BqnO9utd9vNH2 8bu/vlQ13znnsvvJdey3vv7XoH3rHX9qBHvV/eb+9Pbb32pvax9qlAV1fKNc+8hVH7+g8gNwaU
Wb
AAAIgnicfZXNThsxEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9c KAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XV B2sPH603HjefPH32/MXG5stzwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusH11/x79w ZxgRn9KacpGiQgpjjCEEjtOm1fbbTaO+1iuLbhVUbLqcbJ1eb6tR8yOEoQlZAAIfpeO 5UDBbjEkKCs6Y8ESgG8BjHqa5OCBImBKlaauVvaE7oR4/pHpVt45yMUSISYJoEmEyCH wvyWO5d+yz1cRGKhvgqSzMwQiGnW3HLNWBBMjKXL6PNAYZqOJKKwXHk0Iq5kbq6jG2K OoCRTbQDIsd68C4eAAyi12s2mT9EYsiQBNFR+ADJXD22gGFMtFQfTLFtkUM0gPauJRU TEVDNK+RJNJE9UPi+gb0h3gqPveh8/UsSBZHxb+YDHCaaZThb773LrPhBMalBb96UUE sgKLcz/oSVXIsY+pMj63mC2EyGnBKmWl5mShCjBlShSKD/S4iovU7sWeFZQRcK8l0G gzjJLvTSUjKKsv1tWLrL5aX5sAXGL8vPTvIi5nCUJwioynIUYVVEq7hanJ5gwaiZmaa nHbAMQkGV6sHSo1aylG+MQ6XnuLmGDht2q8CytgFx1bW4/s6h9mzqwqQOburCpC5vq2 FTHpo6trh7b0JGd6simDhepXN9Dm+plRqaezVyazKXFBCwzah3Zp3E8rHo+18klLR/L RUxiEi79rzByBzJ94efvQcUtgjdRfdhmwkbLEo4onqsdJDPW10t1qxO3JEbcBRV3clK vYhGd5Ed51pQ8UE2sfFMbmlrQrQ3d2tsOsRYlnm+MXpl22h0ecWJghcvkYg7CmqMgIM C6LlhiltMeWwkhovpO0UpdVScrKu8T/Tx75mNsG+e7O97Hnfbph9beQfVQN5zXzhvnr eM5n5w959A5cToOdJDzy/nt/GmsNbYbXuN9ia6uVDGvnIXR+PIP/5gCGQ==
0
Base current
AAAIi3i cfZXdbtMwFMez8bFSGGxwyU1ENQkhNLUDBEJcbAWkIY0xJrp2W6rJcZzUmmNHtru2s/wW3MJ78TY4adK1dsFSpeOTn885/h/XDjOChWw2/6ys3rp95+5a 7V79/oP1h482Nh+fCDbkEHUgI4z3QiAQwRR1JJYE9TKOQBoS1A0vP+bfu1eIC8zoDznJUD8FCcUxhkAa11kgRKy+aNXWFxuN5nazGL5rtEqj4ZXj6GJz7 TKIGBymiEpIgBDnrWYm+wpwiSFBuh4MBcoAvAQJOjcmBSkSfVWUrP0t44n8mHHzo9IvvPMrFEiFmKShIVMgB8L+ljuXfss9XMRiIb8KU21HCMVE17d8ey 0Ix1bpMn7XV5hmQ4konFYeD4kvmZ8L6keYIyjJxBgAcmw278MB4ABKI3u9HlA0gixNAY1UEALtm2EMlGBqpOJgovUigyoGmVlFLCIioYZRKpBoLHmq8nk BfUKmExx9Nfv4liEOJOMvVAB4kmKqTbAkeJlb/wPBuAKN9b+QQgJZooX5L3TKTRFrH1Lo81Z/thMhJwSpRkvbkkQoxaUoUqggNuKqllY7DnhcUEXAvJdh qI61o14WSUaRPt+ZZi6iBVl+bAHxi/Tz0zyJXc6SAFG5MpotsbKiTNwUZyaYMGoHZtlUj9kGICDL9GDZwKhZSTfCETLz3D2FLRp2y8SzsAJy1XW5Pe1Qe y7Vdqm2S5261KlLdVyq41KHTlcPXejADXXgUvuLVK7vvkv1tBWp5zJnNnPmMCHTVq4D9zSOBmXP5zq5pOUjuYhJTKKl/xVGbkBmbv78YSi5RfAqrg7bTN h4WcAhxXO5w3TGBqZUvzxxS9aIm0XFnZxWVSyi4/woz5qSL1RjJ97EhSYOdO1C1+62I2xESeYbYyozTrfDQ04srHDZXMJBVHEUhAQ41wVL7XTG4yphnu LqTjFKXZQnK57eJ+Z5btmPsWuc7Gy33mw3v79u7LbLh7rmPfWeec+9lvfW2/X2vSOv40GPej+9X97v2nrtVe197cMUXV0p1zzxFkbt81+qXQZm
IB

+
+
recombination current
AAA Ii3icfZXbbhMxEIa35dAQKLRwyc2KqBJCqEoKCIS46AGkIpVSKtKk7UaV1+tNrHrtle00SS2/BbfwXrwN3kPSrB1qKdJ49vPM+B/HDlOChWw 2/y4t37l77/5K7UH94aPVx0/W1p+eCDbkELUhI4x3QyAQwRS1JZYEdVOOQBIS1Akv97LvnSvEBWb0p5ykqJeAPsUxhkAa11kgRKy+arWnL9Ya zc1mPnzXaJVGwyvH0cX6ymUQMThMEJWQACHOW81U9hTgEkOCdD0YCpQCeAn66NyYFCRI9FResvY3jCfyY8bNj0o/986vUCARYpKEhkyAHAj7 W+Zc+C3zcBGLSn4VJtqOEIqJrm/49loQjq3SZfyhpzBNhxJRWFQeD4kvmZ8J6keYIyjJxBgAcmw278MB4ABKI3u9HlA0gixJAI1UEALtm2EM1 MfUSMXBROsqg6YMMrMpUUVEnxpGqUCiseSJyuY59BmZTnD0zezje4o4kIy/UgHg/QRTbYL1g9eZdRsIxlPQWLeFFBLIEs3N/6EFVyDWPqTQ5 63ebCdCTghSjZa2JYlQgktRpFBBbMRVLa22HPA4p/KAWS/DUB1rR700kowifb5VZM6jBWl2bAHx8/Tz0yyJXc6CAFG5MpotsbKiVNwUZyaYMG oHZmmhx2wDEJBFerB0YNScSjfCETLzzF3AFg07ZeJZWAG56rjcjnaoHZfadaldlzp1qVOXartU26UOna4eutCBG+rApfarVKbvvkt1tRWp6z JnNnPmMCHTVq4D9zSOBmXP5zq5oOUjWcUkJtHC/wojNyAzN3/2MJRcFbyKp4dtJmy8KOCQ4rncYTJjA1OqX564BWvEzaL8Tk6mVVTRcXaUZ03 JFqqxE2/iQhMHunaha3fbETai9OcbYyozTrfDQ04sLHfZXJ+DaMpREBLgXBcssdMZj6uEeYqnd4pR6qI8WXFxn5jnuWU/xq5xsrXZerfZ/PG 2sb1bPtQ177n3wnvptbz33ra37x15bQ961Pvl/fb+1FZrb2ofa58KdHmpXPPMq4zal3+yfAZn
IC
current
AAAIi3icfZXdbtMwFMez8bFSGGxwyU1 ENQkhNDUDBEJcbINJQxpjTHTttlST4zitNceObHdtZ/ktuIX34m1w0r Rr7DJLlY5Pfj7n+H9cO8oIFrLZ/Lu0fOfuvfsrtQf1h49WHz9ZW396 ItiAQ9SCjDDeiYBABFPUklgS1Mk4AmlEUDu6/Jx/b18hLjCjP+U4Q90 U9ChOMATSuM5CIRL1Vas9fbHWaG42i+G7RlAaDa8cRxfrK5dhzOAgRV RCAoQ4D5qZ7CrAJYYE6Xo4ECgD8BL00LkxKUiR6KqiZO1vGE/sJ4ybH 5V+4Z1foUAqxDiNDJkC2Rf2t9y58Fvu4SIRlfwqSrUdIRJjXd/w7bUg Glmly+RDV2GaDSSicFJ5MiC+ZH4uqB9jjqAkY2MAyLHZvA/7gAMoje z1ekjRELI0BTRWYQS0b4YxUA9TIxUHY62rDJoyyMymRBURPWoYpUKJR pKnKp8X0BdkOsHRN7OP7xniQDL+SoWA91JMtQnWC1/n1m0gGE1BY90W UkggS7Qw/4dOuAli7UMKfR50ZzsRckyQagTaliRGKS5FkUKFiRFXBVp tOeBxQRUB815GkTrWjnpZLBlF+nxrkrmIFmb5sQXEL9LPT/MkdjkLAs Tlyni2xMqKMnFTnJlgwqgdmGUTPWYbgIAs0oNlfaPmVLohjpGZ5+4J bNGwXSaehRWQq7bL7WiH2nGpXZfadalTlzp1qZZLtVzq0OnqoQsduKE OXGq/SuX67rtUR1uROi5zZjNnDhMxbeU6cE/jsF/2fK6TC1o+lFVMYh Iv/K8wcgMyc/PnD0PJVcGrZHrYZsImiwIOKJ7LHaUzNjSl+uWJW7BG3 Cwq7uR0WkUVHeVHedaUfKEaOfHGLjR2oGsXuna3HWMjSm++MaYy43Q7 PODEwgqXzfU4iKccBREBznXBUjud8bhKmKd4eqcYpS7Kk5VM7hPzPAf 2Y+waJ1ubwbvN5o+3je3d8qGuec+9F95LL/Dee9vevnfktTzoUe+X9 9v7U1utval9rH2aoMtL5ZpnXmXU9v4BwroGaQ==
IE
NPN BJT
AAAIgnicfZXN ThsxEMcXSkuafkF77GXVCKlCFcrSVu2hB6A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3235XVB2sPH603HjefPH32/MXG5s tzwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusH11/x79wZxgRn9KacpGiQgpjjCEEjtOh1ebbTaO+1iuLbhVUbLqcbJ1eb6tR8yOEoQlZAAIfpeO5UDBbjEkKCs6Y8ES gG8BjHqa5OCBImBKlaauVvaE7oR4/pHpVt45yMUSISYJoEmEyCHwvyWO5d+yz1cRGKhvgqSzMwQiGnW3HLNWBBMjKXL6PNAYZqOJKKwXHk0Iq5kbq6jG2KOoCRTbQD Isd68C4eAAyi12s2mT9EYsiQBNFR+ADJXD22gGFMtFQfTLFtkUM0gPauJRUTEVDNK+RJNJE9UPi+gb0h3gqPveh8/UsSBZHxb+YDHCaaZThb773LrPhBMalBb96UUEs gKLcz/oSVXIsY+pMj63mC2EyGnBKmWl5mShCjBlShSKD/S4iovU7sWeFZQRcK8l0GgzjJLvTSUjKKsv1tWLrL5aX5sAXGL8vPTvIi5nCUJwioynIUYVVEq7hanJ5gw aiZmaanHbAMQkGV6sHSo1aylG+MQ6XnuLmGDht2q8CytgFx1bW4/s6h9mzqwqQOburCpC5vq2FTHpo6trh7b0JGd6simDhepXN9Dm+plRqaezVyazKXFBCwzah3Zp3E 8rHo+18klLR/LRUxiEi79rzByBzJ94efvQcUtgjdRfdhmwkbLEo4onqsdJDPW10t1qxO3JEbcBRV3clKvYhGd5Ed51pQ8UE2sfFMbmlrQrQ3d2tsOsRYlnm+MXpl22 h0ecWJghcvkYg7CmqMgIMC6LlhiltMeWwkhovpO0UpdVScrKu8T/Tx75mNsG+e7O97Hnfbph9beQfVQN5zXzhvnreM5n5w959A5cToOdJDzy/nt/GmsNbYbXuN9ia6u VDGvnIXR+PIPxkYCUQ==
h
AAAIgnicfZXNThsxEMcXSkuafkF77GXVCKlCFcrSVu2hB6 A9cKAUUEMC2Qh5vd6Nhdde2Q5JsPYJem0frm9T71dI7BRLkcazv5mx/+PYQUqwkO3 235XVB2sPH603HjefPH32/MXG5stzwUYcog5khPFeAAQimKKOxJKgXsoRSAKCusH1 1/x79wZxgRn9KacpGiQgpjjCEEjtOh1fbbTaO+1iuLbhVUbLqcbJ1eb6tR8yOEoQl ZAAIfpeO5UDBbjEkKCs6Y8ESgG8BjHqa5OCBImBKlaauVvaE7oR4/pHpVt45yMUSI SYJoEmEyCHwvyWO5d+yz1cRGKhvgqSzMwQiGnW3HLNWBBMjKXL6PNAYZqOJKKwXHk 0Iq5kbq6jG2KOoCRTbQDIsd68C4eAAyi12s2mT9EYsiQBNFR+ADJXD22gGFMtFQfT LFtkUM0gPauJRUTEVDNK+RJNJE9UPi+gb0h3gqPveh8/UsSBZHxb+YDHCaaZThb77 3LrPhBMalBb96UUEsgKLcz/oSVXIsY+pMj63mC2EyGnBKmWl5mShCjBlShSKD/S4i ovU7sWeFZQRcK8l0GgzjJLvTSUjKKsv1tWLrL5aX5sAXGL8vPTvIi5nCUJwioynIUY VVEq7hanJ5gwaiZmaanHbAMQkGV6sHSo1aylG+MQ6XnuLmGDht2q8CytgFx1bW4/s 6h9mzqwqQOburCpC5vq2FTHpo6trh7b0JGd6simDhepXN9Dm+plRqaezVyazKXFBC wzah3Zp3E8rHo+18klLR/LRUxiEi79rzByBzJ94efvQcUtgjdRfdhmwkbLEo4onqs dJDPW10t1qxO3JEbcBRV3clKvYhGd5Ed51pQ8UE2sfFMbmlrQrQ3d2tsOsRYlnm+M Xpl22h0ecWJghcvkYg7CmqMgIMC6LlhiltMeWwkhovpO0UpdVScrKu8T/Tx75mNsG +e7O97Hnfbph9beQfVQN5zXzhvnreM5n5w959A5cToOdJDzy/nt/GmsNbYbXuN9ia 6uVDGvnIXR+PIPQBcCYA==w
Figure 4: Hole concentration in the p-type base of an NPN BJT (Question 4).
(a) The first task is concerned with deriving (5).
(i) Under the stated bias conditions, the excess electron concentration in the p-type base is
known to satisfy the boundary conditions δnp(0) = np(0) − n¯p = ∆npVBE and δnp(Wb) =
np(Wb)− n¯p = −n¯p, in which np(x) is the electron concentration at position x in the base.
Explain the physical origin of these boundary conditions, and why the excess electron
concentration δnp(x) can be approximated for x ∈ [0,Wb] by the linear function
δnp(x) = −n¯p + (n¯p + ∆npVBE)
(
1− x
Wb
)
(cm)−3, (7)
provided that Wb Lnp . (5 marks)
ELEN30011 Electrical Device Modelling Page 6 of 6
(ii) Given δnp(x) in (7), argue in terms of charge redistribution times why the excess hole
concentration δpp(x) in the p-type base should satisfy δpp(x) ≈ δnp(x). (5 marks)
(iii) Using (i) and (ii), verify that (5) holds, and subsequently that
pp(x) ≥ p¯p − n¯p ≈ NA
[
1−
(
n¯i
NA
)2]
0, x ∈ [0,Wb], (8)
where NA is the acceptor ion concentration in the p-type material. (5 marks)
(b) The second task is concerned with estimating the resistance of the base, as seen by the base
current IB supplying holes to the device.
(i) Using (5), the conductivity σp(x) due to holes in the p-type base is given by
σp(x) = q µp
[
p¯p − n¯p + (n¯p + ∆nVBEp )
(
1− x
Wb
)]
(Ω m)−1. (9)
What is the corresponding conductivity σn due to electrons in the p-type base? Comparing
with (9), do you expect the resistance of the base as seen by holes to be significantly greater
than or significantly less than the resistance of the base as seen by electrons? Explain.
[HINT: Use (8).] (5 marks)
(ii) Using the conductivity (9), explain how the resistance of the base, as seen by holes entering
the base at the top of Figure 4, could in-principle be estimated via an integral over the
base region. [HINT: Parallel slices.] (5 marks)
[The remainder of this page is intentionally blank]
(END)

欢迎咨询51作业君
51作业君

Email:51zuoyejun

@gmail.com

添加客服微信: abby12468